Expand this Topic clickable element to expand a topic
Skip to content
Optica Publishing Group

Lowering the energy consumption in silicon photonic devices and systems [Invited]

Open Access Open Access

Abstract

We review current silicon photonic devices and their performance in connection with energy consumption. Four critical issues are identified to lower energy consumption in devices and systems: reducing the influence of the thermo-optic effect, increasing the wall-plug efficiency of lasers on silicon, optimizing energy performance of modulators, and enhancing the sensitivity of photodetectors. Major conclusions are (1) Mach–Zehnder interferometer-based devices can achieve athermal performance without any extra energy consumption while microrings do not have an efficient passive athermal solution; (2) while direct bonded III–V-based Si lasers can meet system power requirement for now, hetero-epitaxial grown III–V quantum dot lasers are competitive and may be a better option for the future; (3) resonant modulators, especially coupling modulators, are promising for low-energy consumption operation even when the power to stabilize their operation is included; (4) benefiting from high sensitivity and low cost, Ge/Si avalanche photodiode is the most promising photodetector and can be used to effectively reduce the optical link power budget. These analyses and solutions will contribute to further lowering energy consumption to meet aggressive energy demands in future systems.

© 2015 Chinese Laser Press

1. INTRODUCTION

To meet the information demand of the big data era, communication devices and systems are required to have more and highly integrated components to handle extremely dense data streams. Meanwhile, energy consumption of certain devices and systems is increasing rapidly due to massive data access from quickly expanding end users and terminals. Different approaches and technologies have been proposed to lower energy consumption, some of which aim to increase hardware efficiencies [1,2]; others attempt to utilize system configurations and controls [36].

Since optical links do not suffer RC delay problems as much as electrical links, it is considered a much more efficient system to handle high-density data communications [7]. However, the optical devices do consume a fair amount of energy, particularly so in bulk-type devices. Silicon photonics take advantage of complementary metal oxide semiconductor (CMOS) integration technology to lower the size, cost, and energy consumption of integrated photonic devices and systems and is therefore widely anticipated to replace the electrical links in various communication systems [810].

In this review, we present a detailed energy consumption analysis of various silicon photonic devices and their current states of art. Power hungry spots are identified and figures of merit to evaluate energy efficiencies are proposed. Based on the available data, guidelines to lower energy consumption in silicon photonic devices and systems are provided, so that they can be used to replace electrical data communication systems for now and could meet aggressive energy demands in future systems.

2. REDUCING INFLUENCE OF THE THERMO-OPTIC EFFECT

Silicon possesses a large positive thermo-optic coefficient (TOC, nSi/T), 1.86×104K1, which makes the performance of silicon photonic devices susceptible to temperature fluctuations [11,12]. This susceptibility adds considerable extra energy consumption to control the surrounding temperature, which is a key power-hungry spot for silicon photonic system. A silicon photonic interconnect system, for example, consumes 164 fJ/bit for temperature controlling, while the total energy budget for silicon photonic components is 230 fJ/bit, including consumption of transmitter, receiver, and wavelength-division-multiplexing (WDM) filters [13]. Therefore, reducing thermo-optic-related energy consumption in these applications is a key issue for a low-energy silicon photonic system.

The strong thermo-optic effect of silicon will cause a highly temperature-dependent effective refractive index (neff) for a silicon-cored waveguide, which makes the optical-length-based devices particularly sensitive to temperature variation. The optical-length-based devices include beam-interfering devices represented by Mach–Zehnder interferometer (MZI) and resonant devices represented by microring resonator. The research progress of thermo-optic-related energy savings in MZI and microring will be reviewed, respectively, in the following context of this section.

A. Athermal MZI-Based Devices

In general, MZI, schematically shown in Fig. 1(a), splits the incident light into two beams, which separately propagate through two arms to the power combiner where the two beams are combined for output. When the device loss is ignored for simplification, the transmission spectra (Tr) of MZI can be expressed as

{Tr=PoutPin=cos2(OLDλ·π)OLD=L1neff1(T,λ,l)dlL2neff2(T,λ,l)dl,
where the integral path L1 (L2) is the propagation path in Arm1 (Arm2), and OLD is the optical length difference of the two arms. neff1(neff2) is the effective refractive index of Arm1 (Arm 2), and, in general, they are functions of temperature (T), optical wavelength (λ), and the position (l) in the propagation path. This equation indicates that the temperature-dependent performance of a MZI device is only determined by the OLD, which is analyzed in the following text.

 figure: Fig. 1.

Fig. 1. Schematic of a general MZI (a) with four regions of arms, (b) with three regions of arms, while the A-region is equivalent to the combination of some N-regions and L-regions.

Download Full Size | PDF

According to the effective refractive index (neff) and propagation path (L) of the two arms, the propagation path can be divided into four regions as marked in Fig. 1(a): (1) N-region, where neff1=neff2, L1L2; (2) S-region, where the two arms are symmetric (neff1=neff2, L1=L2); (3) L-region, where neff1neff2, L1=L2; (4) A-region, where the two arms are totally asymmetric (neff1neff2, L1L2). In light of any A-region being equivalent to the combination of some N-regions and L-regions [Fig. 1(b)], we consider the N-region (neffN,LN), L-region (neffL,LL), and S-region (neffS,LS) only in the following analysis. Moreover, the effective refractive index within one region is set to the same for simplification in practice. Under these conditions, the OLD in Eq. (1) can be rewritten as

{OLD(T,λ)=neffN(T,λ)·ΔLN+ΔneffL(T,λ)·LLneff1N(T,λ)=neff2N(T,λ)=neffN(T,λ)ΔneffL(T,λ)=neff1L(T,λ)neff2L(T,λ)ΔLN=L1NL2N;L1L=L2L=LL.
The temperature dependence of OLD is
OLDT=neffN(T,λ)T·ΔLN+ΔneffL(T,λ)T·LL.
To achieve an athermal feature (OLD/T=0) of MZI-based silicon photonic devices, Eq. (3) indicates two approaches: (1) using symmetric arms, that is, ΔLN=0 and LL=0; (2) simultaneously introducing N-region and L-region into the arms and optimizing their structures to satisfy neffN/T·ΔLN=ΔneffL/T·LL. Both of these approaches have been reported for athermal MZI devices whose details are summarized in the following context.

1. Symmetric MZI for Athermal Modulator

The optical length difference of symmetric MZI is independent of temperature, OLD(T,λ)=0; hence, it is capable of athermal operation. Meanwhile, symmetric MZI is independent of the wavelength, which makes it unsuitable for wavelength-dependent applications such as WDM filters. On the other hand, broad working bandwidth is a desired feature for modulators. A temperature-independent broadband silicon modulator has been demonstrated with symmetric MZI [14]. The athermal performance is shown in Fig. 2, where Fig. 2(a) shows the measured static spectrum under different temperatures, and Figs. 2(b)2(d) show the eye diagrams with extinction ratio over 15 dB when working under 25°C, 35°C, 45°C at 10 Gb/s. These results indicate that this symmetric MZI modulator has an athermal performance, which does not require energy consumption for temperature controlling within ±15°C temperature range at least.

 figure: Fig. 2.

Fig. 2. (a) Static spectrums under different temperatures; eye diagrams of 10 Gb/s modulation for different temperatures at 1550 nm: (b) 25°C; (c) 35°C; (d) 45°C.

Download Full Size | PDF

2. Athermalization Using Asymmetric Arms

For wavelength-dependent applications, such as filters, the MZI must have a nonzero OLD. In general, OLDλ and its exact value is chosen according to the desired free spectra range (FSR). As an example, OLD150λ achieves a FSR of 6.4 nm around λ=1550nm [15]. To athermalize such asymmetric MZI devices, the N-region and L-region must be simultaneously included in the arms, as indicated in Eq. (3), and their structure parameters should satisfy the athermal condition,

neffNT·ΔLN+ΔneffLT·LL=0,
where neffN/T is mainly determined by the waveguide material, neffN/TnSi/T for silica-clad (or air-clad) silicon-on-insulator (SOI) waveguide; ΔLN and LL are geometric parameters that are relatively easy for designing. Therefore, the key issue for athermalization is to design ΔneffL to satisfy Eq. (4). Two schemes are reported for this.

The first scheme utilizes waveguides with different widths to construct the L-region, as shown in Fig. 3(a), because the effective TOC (neff/T) varies with the waveguide width [Fig. 3(c)] even though the light polarization (TE), the waveguide height, and the TOC of materials are fixed [16,17]. The second scheme [18] rotates the incident light while it transmits through the power splitter/combiner, as illustrated in Fig. 3(b), so that the light beams propagate with different polarization in two arms and keeps the coherence of the two beams when they are recombined by the combiner. Benefiting from the difference in effective TOC between TE and TM modes [Fig. 3(c)], athermal MZI can be achieved, even though the waveguides of the two arms have identical width and height.

 figure: Fig. 3.

Fig. 3. Schematics of asymmetric athermal MZIs constructed with (a) different waveguide width; (b) different polarization; (c) effective TOC versus the core waveguide width.

Download Full Size | PDF

The reported measurements indicate that both schemes are capable to athermalize MZI filters without any extra energy consumption in a large temperature variation range (no less than ±25°C) under an exact wavelength [1618]. Nevertheless, this kind of athermalization is wavelength-dependent, as indicated in Fig. 4, which rules it out for broadband applications.

 figure: Fig. 4.

Fig. 4. (a) Measured transmission athermal asymmetric MZI at three different temperatures. (b) Corresponding athermal property at different wavelength. Figures are taken from [18].

Download Full Size | PDF

3. Temperature-Tolerant Filters with Cascaded MZIs

The two approaches discussed above achieved athermal MZI-based devices by means of structure designs, which eliminate the temperature dependence of OLD. In this subsection, a quasi-athermal method is introduced, which does not have athermal OLD (OLD/T0), but the device performance will not be significantly degraded by temperature variations in some ranges. This approach cascades multiple MZIs, as shown in Fig. 5(a), which takes two-stage MZIs as an example. If the OLD and power-splitting ratio of each stage are carefully designed, the transmission spectra will perform a flat-top feature, as Fig. 5(b) shows. Such a MZI filter can tolerate temperature variations as long as the temperature-introduced spectra shift is within its flat-top bandwidth. The flat-top bandwidth is in inverse proportion to OLD, which provides a flexible method for tolerating any necessary temperature variation range. For instance, when OLD is scaled down, the measured 0.5 dB flat-top bandwidths are 7.4, 4.7, and 2.6 nm, which correspond to the temperature-change tolerances of ±53°C, ±34°C, and ±19°C, respectively [19]. The two- and three-stage cascaded MZIs are thoroughly investigated in terms of fabrication tolerance, proving that the current CMOS lithography process is enough to fabricate such devices in mass production with acceptable phase errors [1921].

 figure: Fig. 5.

Fig. 5. (a) Schematic and (b) corresponding transmission spectra of two-stage cascaded MZIs.

Download Full Size | PDF

Actively stabilizing the temperature of MZI-based devices with a heater can also eliminate the performance degradation caused by ambient temperature fluctuations [2224]. These active approaches are not promising for energy savings in silicon photonic systems because the three passive approaches reviewed in this section can solve the problem of temperature-dependent performance without any extra energy consumption. However, the passive approaches have their own drawbacks: nonfiltering property for the symmetric approach, wavelength-dependent athermalization for the asymmetric approach, and inefficient utilization of bandwidth for the cascaded approach. In summary, the thermo-optic-related energy consumption of MZI-based devices can be totally eliminated with three passive approaches to choose from according to the requirements of the applications.

B. Temperature-Independent Microring Resonators

Figure 6 illustrates the schematic of a microring resonator, which is another typical optical-length-based device because the resonance condition is expressed as

OL=Lneff(T,λ,l)dl=mλr(m=1,2,),
where OL is the round-trip optical length, the integral path L is the propagation path for one round-trip in the microring, and λr is the resource wavelength. Based on Eq. (5), the temperature dependence of λr can be derived as
dλrdT=λrLng(T,λ,l)dl·Lneff(T,λ,l)Tdl,
where ng is the group refractive index, ng=neffλ·neff/λ. The effective TOC (neff/T) can be approximately expressed as
neffT=ΓcorencornT+ΓcladncladT+ΓsubnsubT,
where Γ (and n/T) with subscripts of core, clad, and sub represent the confinement factors (material TOCs) for the core, cladding, and substrate, as specified in [25]. According to Eq. (7), neff/T>0 for the basic waveguide, silica-clad SOI waveguide (nSi/T1.86×104K1, nSiO2/T1×105K1), in silicon photonics. Taking this into Eq. (6), we note that the resonance wavelength will shift with temperature variation for such silicon microrings. Prior work has aimed at eliminating or reducing the thermal affection to the microring resonators, which will be discussed in three groups according to their working principles.

 figure: Fig. 6.

Fig. 6. Schematic of a microring resonator.

Download Full Size | PDF

1. Special Structure Design

Two kinds of special structures are reported for reducing the temperature dependence of the microring, as demonstrated in Fig. 7, where Fig. 7(a) shows the asymmetric MZI coupled microring [26], and Fig. 7(b) shows the dual-ring structure with resonance splitting [27]. Both schemes are capable of reducing the temperature dependence of the microring resonator. Nevertheless, both of them cannot achieve athermal microring (dλr/dT=0), which can be concluded from Eqs. (5)–(7). No matter how the microring structure has been designed, the resonance wavelength should satisfy Eq. (5), and its temperature dependence can be expressed as Eq. (6). Without introducing a negative thermo-optic material, neff/T and ng are greater than zero everywhere in the microring, which will cause dλr/dT>0 in Eq. (6).

 figure: Fig. 7.

Fig. 7. Construction of (a) asymmetric MZI coupled microring and (b) dual-ring structure.

Download Full Size | PDF

2. Negative Thermo-Optic Material Cladding

The method of negative thermo-optic material cladding was proposed by Kokubun et al. in 1993 [28] and introduced into a SOI microring in 2007 [29]. Significant progress has been achieved since then. The basic configuration of this approach is illustrated in Fig. 8 where negative thermo-optic material acts as the upcladding for the SOI waveguide. This configuration can achieve an athermal microring if the confinement factors and the TOCs are well matched according to Eq. (7) to make neff/T=0. However, two problems exist in this method and have not been solved. First, the TOC required for the upcladding material is as high as 7.8×104K1 for an athermal SOI single-mode waveguide with a dimension of 200nm×500nm because the SOI waveguide has a strong confinement for light (Γcore>75% for TE-polarized fundamental mode) [30], but, currently, all the reported TOC of negative thermo-optic materials is in the range of (13)×104K1. To solve this problem, significant efforts have been made for the athermal SOI microring by means of decreasing the confinement factor of the core with a narrowed waveguide [3134], slot waveguide [35], or TM-polarized guided mode [25,29] These are capable methods for athermal microrings while they suffer from higher propagation loss and larger bending radius induced by the low confinement. Second, most of the reported negative TOC materials (polymer) are still not compatible with the standard CMOS fabrication process, even though some post-fabriaction approaches have been proposed to improve their CMOS compatibility [36]. A potential solution is using titanium oxide cladding, which is CMOS compatible, while its TOC is lower than polymer and has a larger loss [33,34]. Therefore, this athermal method needs further research to seek CMOS-compatible high negative-TOC materials before its practical application in microring.

 figure: Fig. 8.

Fig. 8. Schematic of negative TOC material cladding SOI waveguide in cross-section view.

Download Full Size | PDF

3. Active Controlling

Because passive athermalization approaches, special structure designs, and negative thermo-optic material cladding have not solved the problem of temperature dependence for the microring resonator, the method of active controlling has been widely researched. This method localizes a heater to the microring resonator, which can correct the environmental temperature variation caused resonance wavelength shift by correspondingly changing the power delivered to the integrated heater [12]. There are two types of heaters, as illustrated in Fig. 9, where Fig. 9(a) shows the heater cladded above the microring [37], and Fig. 9(b) shows the heater directly integrated in the microring [38]. Above-cladded heaters are easier for fabrication, but the tuning efficiency is limited with the best demonstration of 42mW/FSR [37], while the directly integrated heater has a tuning efficiency of 20mW/FSR, even through it is more difficult for fabrication. Therefore, the directly integrated heater is more promising for low-energy consideration.

 figure: Fig. 9.

Fig. 9. Schematics of (a) overcladded heater and (b) integrated heater. Figure is revised from [38].

Download Full Size | PDF

Besides, deep trenches or undercuts are effective in enhancing thermal tuning efficiency by isolating the heating area in an air-surrounded environment [39,40]. However, such fabrication processes etch through the back-end-of-line interconnection layer and therefore could be inconvenient for practical use.

Cascaded microrings are capable of achieving a flat-top property to tolerate the temperature variations in some ranges [4144]. Owing to the narrow resonant bandwidth of a microring, the flat-top bandwidth of cascaded microrings are limited. When 11 microrings are cascaded, the flat-top bandwidth is only 0.8nm, which corresponds to the temperature change tolerances of ±7°C [43]. In summary, all the reported passive athermal schemes have not eliminated the temperature-dependence of the microrings. Consequently, active controlling is the most promising approach for silicon photonic microrings, even though it requires extra energy consumption.

MZIs and microrings have been utilized to construct many functional devices, such as modulators and filters, with their own characteristics. MZI is capable of achieving fabrication-tolerant devices while the microring holds the merits of low-loss and compact-footprint. Comparing them in the perspective of thermo-optic-related energy consumption, one can find that MZI can achieve athermal performance without any extra energy consumption, as discussed above, while the microring does not have an efficient passive athermal solution. Therefore, MZI-based devices are more suitable for today’s applications in low-energy silicon photonic systems if the device footprint (104μm2/MZI) is not a key requirement. Otherwise, heater-assisted microring should be applied to compact the size (102μm2/microring) at the cost of extra energy consumption (20mW/microring). To eliminate thermo-optic-related energy consumption of the microring, the negative TOC material cladding is a promising approach, which needs further research in CMOS-compatible high negative-TOC material.

3. INCREASING THE WALL-PLUG EFFICIENCY OF LASERS ON SILICON

Laser on silicon has long been desired as a basic “building block” device for silicon photonics. However, silicon suffers from ultralow emission efficiency due to its indirect bandgap structure, making it a great technological challenge to develop an efficient silicon laser. In addition, lasers on silicon are preferred to emit at around 1310 or 1550 nm for direct connecting with optical communication systems. To meet such challenges, four potential candidates have been widely exploited in the past decades, according to available working mechanisms, namely, a Raman Si laser based on stimulated Raman scattering (SRS) [45]; Er-related Si laser by introducing Er ions as emissive centers [46]; Ge-on-Si laser via bandgap engineering [47,48], and III–V-based Si laser by integrating III–V gain material or laser on silicon [49,50].

Serving as the electrical-to-optical converter, high-energy conversion efficiency is the key for lasers to realize low-energy consumption operation. A basic figure-of-merit quantity to measure the laser energy conversion efficiency is wall-plug efficiency (WPE), which is defined as the output optical power divided by the total electrical input power. To an electrically pumped laser, WPE can be expressed as

WPE=PlaserVbias·Ibias,
where Plaser, Vbias, and Ibias are the laser output, bias voltage, and current, respectively. Different from the electrically pumped laser, an optically pumped laser generally goes through two energy conversion processes successively, namely, electrical-to-optical conversion (E/O) in the pump laser and optical-to-optical conversion (O/O) in the desired laser. Hence, they both should be taken into consideration when calculating the WPE. Furthermore, only a laser power coupled into a silicon circuit is effective for silicon photonics. Hence, a more appropriate quantity to identify the energy conversion efficiency of lasers on silicon is overall wall-plug efficiency (OWPE), which is defined as the output laser power in a silicon waveguide divided by the total electrical input power, as expressed in Eqs. (9) and (10) for electrically and optically pumped lasers:
OWPE=PlaserVbias·Ibias·η,
OWPE=ηpump_E/O·ηlaser_O/O·η,
where η is the coupling efficiency between laser and silicon waveguide; ηpump_E/O=Ppump/(Vpump_bias·Ipump_bias) represents E/O efficiency in the pump laser; Ppump, Vpump_bias, and Ipump_bias are the laser output, bias voltage and current of the pump laser, respectively. ηlaser_O/O=Plaser/Ppump represents the O/O efficiency in the desired laser. In general, optically pumped lasers are easier to demonstrate by taking advantage of simple fabrication, test, and high O/O efficiency while electrically pumped lasers are potentially more desirable in terms of achieving high OWPE. To evaluate the performance of each candidate from the perspective of OWPE, the history, and recent progress of each candidate in realizing an energy-efficient laser on silicon are briefly summarized, and a detailed energy consumption analysis is presented in this section.

A. Raman Si Laser

The Raman effect is a weak nonlinear optical effect in which two different light wavelengths are generated when a light beam is deflected by molecules due to photon–phonon interaction, known as anti-Stokes and Stokes transitions. Hence, an extra pump laser is dispensable for Raman Si laser due to the intrinsic optical-pumping mechanism. Besides, high pump power is necessary to achieve a Raman Si laser due to the small Raman gain coefficient limited by the weak Raman effects. Consequently, the first demonstrated Raman Si laser suffered from an ultrahigh threshold with a low slope efficiency [45] and could only work under pulse pumping conditions. In addition, high pump power will induce two-photon absorption (TPA) in which an electron absorbs two photons simultaneously in the valence band and is boosted to the conduction band. TPA increases superlinearly with pump power and induces a significant amount of free carriers, leading to strong free carrier absorption (FCA) in which free carriers in the conduction band absorb photons and jump to a higher energy level. Hence, Raman Si laser performance is strongly restricted by the large FCA induced by TPA. An effective way to address this problem is to introduce a reverse-biased p-i-n structure waveguide to sweep free carriers away [51], thus reducing the free carrier recombination lifetime. Accordingly, the TPA-induced FCA was strongly suppressed, and a continuous-wave (CW) Si Raman laser was first achieved with a lower threshold [52]. In addition, further experiment results indicated that Raman Si laser performance could be further enhanced with pump threshold reduced to 20 mW and slope efficiency reaching up to 28% by optimizing p-i-n structure and introducing a high-quality factor (Q-factor) racetrack ring resonator cavity [53].

In spite of these improvements, the threshold of Raman Si laser is still rather high with a centimeter-sized cavity impeding its practical application. Photonic-crystal (PhC) nanocavity is believed to be an effective method because it can achieve a high Q-factor with an ultracompact cavity volume (V), thus rendering strong light-matter interaction. Such an idea was confirmed by the successful demonstration of an ultralow threshold CW Raman Si laser in a novel PhC nanocavity [54]. In addition, when simulating the electric filed distribution of the pump and Raman laser in the PhC nanocavity, a key phenomenon was discovered that their electric field cross components had the same line symmetry and similar distribution, as indicated in Fig. 10. Therefore, a high degree of overlap for the SRS was realized by carefully selecting the crystallographic direction, thus dramatically enhancing the Raman gain. Consequently, a Raman Si laser with a threshold near 1.1 μW and cavity length less than 10 μm was demonstrated, which is a milestone work in developing an ultracompact low-threshold Raman Si laser.

 figure: Fig. 10.

Fig. 10. Calculated electric field distributions for the pump and the Raman laser mode, respectively, in which the cross components (Ex_pump and Ey_Raman, Ey_pump and Ex_Raman) have the same line symmetry and similar distribution. Color scale represents the intensity of the electric field. Figure is taken from [54].

Download Full Size | PDF

Table 1 summarizes the representative results of Raman Si laser performances, which have been extremely improved in terms of the size minimization and threshold reduction by utilizing a high Q-factor cavity such as ring resonator and PhC. Compared with the previously reported results, the introduction of a PhC nanocavity rendered about 1000 and 20,000 times reduction in cavity length and threshold, respectively, In addition, the ηlaser_O/O of a Raman Si laser is positively related to the slope efficiency, while it has no clear relationship with laser threshold and size. Although there is no coupling problem between the Raman Si laser and Si waveguide, its OWPE is still small, limited by the finite ηpump_E/O and small ηlaser_O/O. In a word, a Raman Si laser is inherently restricted by the intrinsic optical-pumping mechanism, rendering limited OWPE. Hence, it may not be a promising candidate for an energy-efficient laser on silicon.

Tables Icon

Table 1. Representative Summary of Raman Si Laser Performance in Near-Infrared Wavelength Regiona

B. Er-Related Si Laser

In an Er-related Si laser, an erbium ion is introduced as an atomic luminescent center to emit at around 1.55 μm via its unique intra-4f transition. Currently, optically pumped Er-related Si lasers have been widely realized, and Er-doped silica (SiO2:Er) [46,5557] and Er-doped alumina oxide (Al2O3:Er) [5862] are the two most widely used gain material. SiO2 is an attractive host with low propagation loss, and ultrahigh Q-factor cavities, such as micro-toroidals and microdisks, are available by utilizing a laser-assisted reflow process [63], thus rendering the first optically pumped Er-related Si laser [46].

As summarized in Table 2, dramatic reductions in the device size and lasing threshold have been realized, rendering microwatts-scale threshold SiO2:Er-based Si lasers within highly compact cavities. However, such lasers suffer from a low ηlaser_O/O, and the output laser power is too low for practical application. Additionally, the suspended structure and compact size of the microcavities make it a big challenge to directly couple the laser into a silicon waveguide, strongly restricting the coupling efficiency and eventually rendering low OWPE.

Tables Icon

Table 2. Si Laser Performances Based on SiO2:Era

Compared with silica, Al2O3:Er offers a larger emission bandwidth and a more highly confined optical mode due to the relative larger refractive index (1.65). Therefore, Al2O3:Er has emerged as a highly promising gain material, and Al2O3:Er-based Si lasers have been demonstrated in recent years by utilizing distributed feedback (DFB) and a distributed Bragg resonator (DBR), as summarized in Table 3. Compared with Si lasers based on SiO2:Er, great enhancement in both ηlaser_O/O and η has been accomplished in Al2O3:Er-based Si lasers, and as high as 30% ηlaser_O/O is realized, all leading to the enhancement of OWPE.

Tables Icon

Table 3. Si Laser Performances Based on Al2O3:Era

The path to developing an electrically pumped Er-related Si laser is rather tortuous, and no successful result has been reported. SiO2:Er and Al2O3:Er inherently suffer from their dielectric characteristics, rendering difficulty in current injection. Er-doped Si-rich silicon oxide (SiOx:Er) [64,65] is widely considered as a promising candidate in which the excess Si acts as a sensitizer and transfers the absorbed energy to Er ions. Currently, 20% of electrically excited Er3+ ions are experimentally demonstrated [65]. However, the energy-transfer efficiency is limited by the strong FCA induced by the excess Si, and SiOx:Er suffers from finite erbium solubility, limiting the available Er concentration. Consequently, no net optical gain is achieved in SiOx:Er.

Erbium silicate is another available candidate and has potential to obtain large material gain owing to the high optical-active erbium concentration [66]. Ytterbium and yttrium ions share a similar ionic radius with erbium and are introduced to dilute Er ions, thus suppressing the strong cooperative upconversion (CU) in Er silicate [67]. More than 23 dB/cm material gain [68] is theoretically possible in Er/Yb silicate under electrical pumping, while ultrahigh applied voltage is required due to its insulate property, rendering potential device instability.

In conclusion, electrically pumped Er-related Si laser is still missing. Although over 10% of OWPE is potential in Al2O3:Er-based Si lasers under optical pumping; it is also strongly limited by the ηpump_E/O of the pump laser, which is generally discrete and has a huge size, rendering extremely poor scalability and eventually restricting the competitiveness of Er-related Si lasers.

C. Ge-on-Si Laser

Benefiting from the small energy difference (136 meV) between its indirect bandgap (EgL) and direct bandgap (EgΓ), Ge exhibits pseudo-direct gap behavior and theoretical analysis further predicts the potential of achieving Ge laser by engineering its band structure [69]. N-type doping [6971], introducing tensile strain [72,73], and using GeSn alloy [74] are the three most widely used routes to shortening Ge’s energy difference between EgΓ and EgL, thus rendering enhancement of direct bandgap emitting efficiency. N-type doping reduces the energy gap between the bottom of the Γ valley and L valley by providing extrinsic electrons to “fill up” states in the L valley; hence, more electrons in the L valley could jump into the Γ valley via the intervalley scattering process. Above 4×1019cm3 doping concentration was achieved by utilizing a multilayered delta-doping method [70] in which an elegant trade-off was made between phosphorous out-diffusion and low growth temperature for a high-quality Ge layer [71]. Introducing tensile strain and adding Sn into Ge both could cause the Ge bandgap to shrink in which EgΓ reduces faster than EgL, thus reducing the value between them. Theoretical analyses predict that 2% biaxial tensile strain or 4.6% uniaxial tensile strain [72] applied to Ge layer or over 6.55% Sn concentration in GeSn alloy [74] are enough to tune Ge into a direct bandgap material, respectively.

The highly successful implementation of energy band engineering has successively generated optically and electrically pumped Ge-on-Si lasers [47,48]. However, the demonstrated Ge lasers suffer from high lasing threshold of 4 kW under optical pumping and 280kA/cm2 under electrical pumping, due to low direct bandgap emitting efficiency. Consequently, the OWPE in electrically pumped Ge-on-Si laser is ultralow (<103). Currently, increasing attention is focused on either applying larger tensile strain on Ge or raising Sn content in GeSn alloy because theoretical analysis indicates that they were much more efficient than n-typed doping in terms of threshold reduction [75]. A suspended microbridge structure [Fig. 11(a)] [76,77] was introduced to achieve large tensile strain by reducing the contact area and accumulating the strain in the constricted bridge region, thus rendering high strain transfer efficiency.

 figure: Fig. 11.

Fig. 11. (a) Scanning electron microscope of the microbridge structure. (b) Net gain analysis of strained microbridge structure versus energy. Figures are reproduced from [77].

Download Full Size | PDF

Consequently, over 3.1% uniaxial tensile strain was experimentally demonstrated in Ge, and a net gain of 460cm1 was theoretically achievable at 0.6 eV, as shown in Fig. 11(b). Furthermore, the strain was further enhanced to 5.7% by transferring Ge onto SiO2 via wafer bonding, eventually rendering a direct bandgap Ge material [78]. However, only a small fraction of electrons would theoretically reside in the Γ valley due to the much larger density of states in the L valley [79]. Additionally, Wirths et al. [80] made a significant breakthrough in growing high-quality GeSn alloy with Sn content up to 12.6% with the CMOS process, generating the first optically pumped GeSn-on-Si laser, lasing up to 90 K.

In conclusion, the demonstrations of the electrically pumped Ge laser and optically pumped GeSn laser are milestone works toward the development of a direct-bandgap group IV laser, strongly indicating the feasibility of energy band engineering in enhancing Ge laser performance. The main challenge currently for a Ge laser is the low E/O efficiency restricted by the indirect bandgap structure. Although direct bandgap Ge has been demonstrated by applying over 5% uniaxial tensile strain or adding over 10% of Sn into GeSn alloy, it renders a serious wavelength redshift with a peak wavelength of over 2 μm. Hence, a Ge-on-Si laser may be an energy-efficient laser by optimizing bandgap engineering, materials quality, and process, while it eventually will not meet the aforementioned requirement in wavelength.

D. III–V-Based Si Laser

Benefiting from the inherent direct bandgap structure, III–V materials are appealing gain materials with high light emission efficiency. The main challenge for a III–V-based Si laser resides is the significant mismatch between Si and III–V materials in terms of lattice constant and thermal expansion coefficient, rendering high density of threading dislocations (TDs) and performance degradation, thus impeding direct growth of III–V materials on SOI. Only electrically pumped III–V-based Si lasers are discussed in this section because they are preferred for high OWPE and have been widely realized. In addition, η plays a key role in accomplishing high OWPE, as indicated in Eq. (9). Three main integration approaches have been extensively explored to address this challenge, namely, direct mounting integration, heterogeneous integration via wafer bonding, and direct hetero-epitaxial growth (their basic characteristics are listed in Table 4).

Tables Icon

Table 4. Typical Characteristics of Three Integration Approaches of III–V-Based Si Laser

1. Direct Mounting Integration

Direct mounting integration [81,82] means directly integrating discrete gain chip or laser die on pre-trenched SOI wafer via solder bumps, thus providing superior thermal conductivity and allowing for individual optimization of each component without mutual restriction. The main challenge to obtain large OWPE resides in achieving high η, which generally requires submicrometer precision alignment, inducing increasing assemble time and cost. Mode-size converters (SSCs) [82,83] were introduced to ease the rigorous alignment request and obtain low coupling loss by accomplishing a mode-field match between the laser chip facet and silicon waveguide. Benefiting from utilizing an inversed Si taper SSC with SiON cladding, the coupling loss was effectively reduced, thus generating an efficient hybrid laser with 7.6% OWPE at 20°C [82]. Furthermore, the 1 dB alignment tolerance increased to ±1.3 and ±0.9μm in the horizontal and vertical directions, respectively, indicating the feasibility of direct mounting integration. However, the coupling loss is sensitive to the tip width of the taper; therefore, such SSC suffers from poor manufacturing tolerance. A novel SSC with a trident Si waveguide was designed to address this issue and can be fabricated with a simple fabrication procedure [83]. Compared with inversed taper SSC, the coupling loss of the trident SSC proved much more stable and lower, as indicated in Fig. 12. Hybrid lasers with over 20% OWPE were obtainable by integrating a laser diode with this novel SSC, as described in [84], thus making direct bonding integration an appealing method to achieve high OWPE III–V-based Si lasers.

 figure: Fig. 12.

Fig. 12. Coupling loss dependence on waveguide tip width for two types SSC. Figures are taken from [83].

Download Full Size | PDF

2. Heterogeneous Integration Via Wafer Bonding

Heterogeneous integration means utilizing wafer-bonding techniques to integrate an unpatterned III–V layer on pre-patterned SOI, which are lithographically aligned, rendering high precision. Currently, molecular bonding through interfacial bonds [85,86] and adhesive bonding using metallic materials [87] or divinylsiloxane-benzocyclobutane (BCB) [88,89] as a bonding interface are the two representative wafer bonding techniques and can be used in die-to-die, multiple die-to-wafer, and wafer-to-wafer processes. The former usually requires an atom-scale smooth surface to fulfill bonding, while the latter is free from material limitations due to excellent physical properties of adhesives in bond strength, surface planarization, and thermal stability [88].

Currently, heterogeneous integration via wafer bonding is the most widely used method for III–V-based Si lasers. However, the intermediate bonding materials used in heterogeneous integration have low thermal conductivity (SiO21.4W/m/K and BCB0.3W/m/K) [90], rendering high thermal resistance. Furthermore, the thick buried SiO2 layer (2μm) on the SOI is also a significant barrier for heat dissipation from the upper laser to the underlying Si substrate. Hence, the corresponding lasers suffer from poor heat dissipation, rendering performance degradation, including OWPE reduction. Polysilicon was introduced to reduce the laser thermal impedance due to its high thermal conductivity (34 W/m/K) and CMOS compatible fabrication process. One approach is to etch part of the buried oxide and fill it with polysilicon, acting as a thermal shunt [91]. Additionally, a polysilicon heat sink was proposed to improve the thermal conductivity of microdisk lasers by acting as an efficient thermal conductor between the active layer and Si substrate; thus, 64% of thermal impedance could be reduced [92].

Beside, cavity size also influences the OWPE because it has a significant impact on laser thermal impedance. Table 5 summarizes the typical laser performance based on cavities with different sizes, including Fabry–Perot [93,94], DFB [95], DBR [9698], racetrack [99], microring [100], microdisk [50], and PhC [101]. Lasers based on microcavities obtain an ultralow current threshold owing to the high Q-factor and compact cavity volume (V), while they also suffer from low output power and OWPE, simultaneously, arising from the high thermal impedance due to the ultracompact size. A great example is the λ scale embedded active-region PhC (LEAP) laser on Si [101], lasing at a record ultralow threshold of 31 μA, as indicated in Fig. 13(a), which is a big step in developing an ultracompact ultralow threshold Si laser. Nevertheless, the maximum output power and OWPE of it were merely 0.27 μW and around 1.35×103, respectively, as shown in Fig. 13(b), thus greatly restricting its practical application. On the other hand, benefiting from better thermal dissipation ability, large-size cavities such as DBR and racetracks are preferred for III–V-based Si lasers in order to obtain higher OWPE, reaching up to 13% by utilizing a 3 mm racetrack cavity.

Tables Icon

Table 5. Representative Performance of III–V-Based Si Lasers Via Heterogeneous Integration in Different Cavitiesa

 figure: Fig. 13.

Fig. 13. (a) Schematic of fabricated on-Si LEAP lasers. Current blocking trenches were used with a trench width of 200 nm. (b) Light output and applied voltage versus injected current (L-I-V). Figures are taken from [101].

Download Full Size | PDF

3. Direct Hetero-Epitaxial Growth

To deal with the high density of TDs between the interface of III–V material and Si, buffer layers such as SiGe [102] and GaAs [103] were first utilized to reduce TDs because they share a similar lattice constant and thermal expansion coefficient with III–V gain materials. However, the TDs in III–V materials were still too high when compared with growing on native substrate. Nanostructures, especially for quantum dots (QDs), were then introduced to further suppress TDs due to good defect handling properties. Benefiting from a delta-function-like density of states and discrete distribution characteristics, QD could effectively filter TDs and maintain a temperature-insensitive operation. Consequently, direct hetero-epitaxial growth technique has been used to grow high-quality III–V QD materials on Si, and the most successful example is InAs/GaAs QD [104111]. The TDs density in InAs/GaAs QD was further reduced by using AlAs as nucleation layers [107] and using InAlAs/GaAs strained-layer superlattices (SLSs) as filter layers [108], leading to great improvement of laser performance. The experiment results are summarized in Table 6.

Tables Icon

Table 6. Summary of the Experimental Results of InAs/GaAs QD Lasers in Recent Yearsa

Currently, InAs/GaAs QD laser can emit over 100 mW output power [109,111] and operate at temperature higher than 100°C [109111], indicating the feasibility of a direct hetero-epitaxial growth approach for achieving high-performance III–V-based Si laser. In spite of the huge progress in QD lasers, the reliability is still its drawback, with only a 2100h lifetime [111], and further efforts on material optimization and TDs reduction are necessary to enhance the reliability. In addition, the thick buffer layer (a few micrometers) required for growing a high-quality QD on silicon renders additional difficulty for efficient coupling between QD laser and Si, either by evanescent coupling or butt-coupling. In general, butt-coupling is more preferable to implement, and precise alignment could be obtained by growing a QD laser on prepatterned trenches on SOI, which can be horizontally aligned with a silicon waveguide, and the silicon substrate could be a superior thermal conductor. The thickness of the trench is precisely controlled to ensure the active region of the QD laser is vertically aligned with a silicon waveguide for efficient coupling. Hence, over 10% of OWPE in QD lasers is obtainable because the current ηlaser_E/O of QD lasers has reached up to 18%, making it a competitive candidate to achieve Si laser with high OWPE.

E. Conclusion

In this section, four potential candidates of Si-based laser are briefly reviewed and analyzed in terms of OWPE, as listed in Table 7. Currently, the Raman Si and Er-related Si lasers could only operate under optical pumping. Therefore, their OWPE is inherently restricted by the finite ηpump_E/O, thus rendering low value (<8%). In addition, the large size of the discrete pump laser greatly impedes their application in optical links. As for the Ge-on-Si laser, it is still at an early stage and, currently, its OWPE is ultralow. A III–V-based Si laser is no doubt the most competitive and promising candidate to achieve high OWPE owing to the high direct bandgap emission efficiency. Currently, III–V-based Si lasers built on direct mounting integration obtain the highest OWPE owing to the superior performance of gain/laser chip and good thermal dissipation, while the high alignment requirement and poor scalability will strongly restrict its integration density and application in the future. In comparison, a QD laser via direct hetero-epitaxy growth is also competitive in achieving high OWPE, and the temperature insensitive characteristic makes it extremely appealing for large-scale integration in the long term.

Tables Icon

Table 7. General Comparison of the Current Status for the Four Candidates in Terms of OWPEa

4. OPTIMIZING THE ENERGY PERFORMANCE OF MODULATORS

Energy consumption of modulators have attracted increasing attention, and stringent demand of <10fJ/bit may be ultimately met to compete with copper interconnects [112]. While most literature has focused on modulation energy, stabilization energy is rather significant for resonant and electro-absorption modulators (EAMs). As previously mentioned, the resonant wavelength of fabricated silicon resonators is highly random, and it drifts with temperature variation. To solve both problems simultaneously, tuning appears to be the only option at hand so far. Moreover, the tuning range of a FSR is necessary for it to have any practical feasibility, though statistically tuning energy of half or less FSR is actually consumed. In this section, both modulation and maximum stabilization energy are taken into account. Under such criteria, current state-of-the-art devices still cost at least four times higher than 10 fJ/bit. Another relevant metric is insertion loss (IL). Instead of directly contributing to modulation energy, the role of IL manifests itself in the form of link loss budget. However, given the low WPE of light sources, loss characteristics deserve careful examination.

A. Intracavity Modulators

Intracavity modulation maximizes the electro-refractive shift of resonance peaks (notches), so that the transmission changes at a certain working wavelength. Theoretically, the resonance shift varies insignificantly for cavities with different sizes when a certain portion of the cavity volume is modulated, as shown in [113]:

ΔωΔεεVpVo,
where ε and Δε stand for permittivity and its perturbation, respectively, and Vp and Vo represent the modulated volume and total cavity volume, respectively. Therefore, the smaller the cavity, the less energy is needed to drive the junction. An essential principle is to trade the Q-factor for energy consumption reduction and speed improvement (due to suppressed photon lifetime) through reducing cavity size. This is the reason why a low-loss cavity is important for intracavity modulators, and microdisks generally are capable of less energy consumption than microrings. IL in intracavity modulators is not a big concern because the lower limit approaches waveguide loss. Dynamic IL<2dB is always achievable through appropriately choosing bias.

We introduce some typical results regarding energy consumption for a clearer perception on the magnitude. Lateral junction modulator of 50 fJ/bit energy consumption was reported at 25 Gbps, with IL of 2 dB [2]. A microring of R=15μm was used, and the high Q-factor of 14,500 limited the electro-optical bandwidth to 13 GHz. An interleaved junction modulator of only 0.2 dB IL was reported with a racetrack configuration. Energy consumption is 471 fJ/bit at 25 Gbps but can be further reduced by a smaller pitch [114]. Later, a zigzag junction was proposed, achieving 300fJ/bit at 20 Gbps [115]. For the carrier injection type, energy consumption is as low as 7.9 fJ/bit [116], and, with the assistance of signal pre-emphasis, 50 Gbps can be realized with carrier injection [117].

Vertical junction modulators have to be mentioned for their extraordinary modulation efficiency and low-energy consumption. Vertical junctions are applicable to all silicon modulators based on the plasma dispersion effect, yet demonstrations on other structures are far more rare than that of resonators because of difficulties in realizing electrical contacts. Figure 14 illustrates a version of the vertical junction. Interior electrical contacts can be made without incurring extra loss in the presence of whispering gallery modes circulating along the exterior boundary. Microdisks of R=1.75μm were reported to achieve 3 fJ/bit at 12.5 Gbps [118]. Recently, this number was reduced to 0.9 fJ/bit at 25 Gbps by further optimization, with a 2.4 μm radius disk and a Q-factor of 6600 [113]. Meanwhile, some geometrically modified microring modulators were also proposed to prevent multimode [119121]; however, their energy consumption is theoretically higher.

 figure: Fig. 14.

Fig. 14. Schematic of cross-section view of vertical junction and its electrical contacts in microdisks. Doping through ion implantation can eliminate the need for poly Si deposition.

Download Full Size | PDF

Though the watershed of achieving sub-fJ level energy is encouraging, most intracavity modulators have FSR more than 13 nm (R7.5μm) or even exceeding 30 nm for R<3μm. Simple calculations could provide a rough estimation of how much energy is needed to stabilize their operation. So far, tuning efficiency is usually below 1.5 nm/mW for heaters integrated with modulators. When tuning the resonator at a FSR, the stabilization energy consumption is beyond 347800fJ/bit at 25 Gbps and even higher at lower speed. Such high stabilization cost, which stems from the large FSR of intracavity modulators, cancels the advantage of low modulation energy and poses a fundamental challenge to this type of modulator.

B. Coupling Modulators

Coupling modulation is another way to utilize the high sensitivity of resonators. When the bus waveguide is decoupled from the resonator, transmission approaches unity; when the resonator is in critical coupling condition (κ2=1a2, where κ2 is energy cross-coupling coefficient and a is the round-trip amplitude transmission of the resonator), steady-state transmission becomes zero. To make it more efficient to control the coupling strength, MZI, including two directional couplers, is introduced, as shown in Fig. 15(a). Consequently the phase difference between two arms needed for complete extinction is π for unity output and 2arccos(a) for zero output. Intensity transmission for such configuration is derived in [122]

PoutPin=a2+cos2Δϕ22a|cosΔϕ2|cosθ1+a2cos2Δϕ22a|cosΔϕ2|cosθ,
where θ is round-trip phase and Δϕ is the phase difference between MZI arms. Numerical calculation is presented in Fig. 15(b). It can be seen that higher finesse (lower cavity loss) decreases the needed phase difference Δϕ and thus energy consumption. To fit MZI phase shifters into the cavity, coupling modulators usually adopt a racetrack configuration. Here, absorption of MZI arms (250μm for each arm) and cavity attenuation contribute to the static IL but could be 8x smaller than 2 mm long conventional Mach–Zehnder modulators (MZMs).

 figure: Fig. 15.

Fig. 15. (a) Schematic of coupling modulator (not drawn to scale). Two directional couplers form a MZI in between, within which total coupling strength can be controlled via phase difference Δϕ. (b) Transmission characteristics of coupling modulator at different Δϕ. Loss is taken into account by a=0.96. Inset: Transmission at resonance wavelength as a function of self-coupling coefficient. It is clear that the lower the cavity loss, the less phase shift is needed for complete extinction.

Download Full Size | PDF

Dynamics of the coupling modulation is intriguing, since a steady state is not reached in every bit. When the cavity is decoupled from the bus waveguide (“1” output), light energy stored inside the cavity is not dumped, as in intracavity modulation, but instead slowly dissipates. This implies the modulation speed is not limited by photon lifetime. A pattern effect also plays a role that, after consecutive “1” bits, the cavity loses a substantial portion of its stored energy, and the next “0” bit requires first charging up for zero output. As a result, this “0” power will be higher as the number of consecutive “1” bit increases. Nevertheless, this could be mitigated by modulating at high speed (compared with photon lifetime) and accelerating the charging process. Detailed time-domain analysis could be found in [123]. Early coupling control was reported in [124,125]. A coupling modulator with only 1.5 Vpp push–pull driving could be found in [126], which corresponds to <750fJ/bit at 28 Gbps. Measured FSR was only 0.25nm. Beside amplitude modulation, phase and higher modulation format were demonstrated [127129].

A large cavity in the coupling modulator makes tuning readily achievable. For FSR of 0.3 nm, the stabilization energy cost is merely 8 fJ/bit at 25 Gbps. This opens up the possibility of using fast and energy-efficient electric tuning, due to the small tuning range required. Furthermore, electric tuning can either redshift (depletion) or blueshift (injection) the resonance, thus allowing a quick response for tracking a laser wavelength.

Comparatively, modulation energy becomes more dominant in this situation. Decreasing driving voltage plays the most important role in reducing energy consumption, and, with the utilization of a novel junction profile and trade-off between phase shifter length, modulation energy below 100 fJ/bit could be expected in the future.

C. MZMs

MZM is a popular type of interferometric modulator. Balanced MZM possesses infinite optical bandwidth and eliminates the need for temperature control. However, its high modulation energy cost, IL, and footprint might make MZM a better candidate for long-haul applications rather than short-reach interconnects [13]. Static IL is often above 4 dB, originating from propagation and FCA loss of both millimeter-scale arms, and, given the insensitiveness to phase shift compared with resonant devices, dynamic IL is often sacrificed to allow low driving voltage in many publications. These circumstances demand higher laser output that already consumes the most power in a link.

In terms of energy, the majority of works reported so far are on the pJ/bit order. A 0.75 mm long MZM of Vpp=6.5V consumes 4pJ/bit at 50 Gbps [130]. Long phase shifter of 4 mm length was reported with Vpp=7V, corresponding to >4pJ/bit at 50 Gbps [131]. There are also sub-pJ MZMs reported with 2 mm long push–pull phase shifters, and only 0.36 Vpp is needed (146 fJ/bit at 26 Gbps) [132]. Later, this value was reduced to 32.4 fJ/bit at 40 Gbps [133]. These results will be more attractive if IL (static 4.5dB) could be further reduced. Hitherto, the modulation efficiency and IL could be improved by optimizing doping profile and concentration, but, fundamentally, MZM is much more power-hungry compared with resonator-based modulators under the same performance metrics.

A variety of literature covers microrings in combination with MZI or multiple rings. Ring-assisted MZI aims to expand electro-optic bandwidth or improve linearity [134,135]. Dual rings were reported to relax the constraint of photon lifetime and broaden optical bandwidth [136,137], but the requirement for tuning actually grows. It remains to be seen whether these configurations have room for further improvement in terms of energy consumption.

D. Photonic Crystal Modulators

Photonic crystal is an alternative way to form waveguides and cavities. Utilizing the slow light effect, light–matter interaction is greatly enhanced, resulting in size reduction of an order of magnitude or more [138]. As shown in Fig. 16, MZM configuration replaces conventional rib/strip waveguides in phase shifters with photonic crystal waveguides, requiring <100μm in length [139]. Slow light at the same time also enhances absorption of free carriers; thus, IL is not reduced as much as the length shrinks. Usual energy consumption is >2pJ/bit, such as 9.6 pJ/bit at 10 Gbps [140] and 3.5pJ/bit at 40 Gbps [139]. Resonant configuration could reach 207 fJ/bit for a length of only 8.8 μm [141]. More results could be found in dedicated reviews such as [138]. Photonic crystal waveguides and resonators suffer from similar problems as those of aforementioned devices made of rib/strip waveguides and cavities. Susceptibility to fabrication error might be a potential problem, but only if the energy consumption could outperform the regular devices.

 figure: Fig. 16.

Fig. 16. Schematic of slow light photonic crystal waveguide modulator. Figure is reproduced from [139].

Download Full Size | PDF

E. Germanium EAMs

Germanium EAM is another possibility to integrate on silicon. The Franz–Keldysh effect (bulk effect) and quantum-confined Stark effect (QCSE) have been reported [142]. Waveguide-based GeSi EAM consumes 50 fJ/bit with 1 GHz electro-optic bandwidth [143]. Later, 16 fJ/bit [144] and 0.75 fJ/bit were achieved [145]. However, the need for temperature control remains an obstacle rising from bandgap energy dependency on temperature. Therefore, not just thermal tuning, but heating and cooling, will be needed to stabilize the temperature. This energy consumption could probably be higher than the aforementioned tuning energy and will have to be significantly downscaled for practical use.

Typical performance of the abovementioned configurations is listed in Table 8. Judging from current state-of-the-art devices and their difficulties, a coupling modulator might be one of the promising solutions that requires minimal tuning range and consumes a fair amount of energy. Given that only a prototype has been demonstrated, this configuration still has huge potential for future improvement. MZMs are relatively much more power hungry and lossy when compared with resonant modulators even when symmetric MZMs require no need for tuning. Novel structures combining MZI and rings could create a balanced performance between stability and efficiency. Other structural configurations are less competent in terms of energy consumption but may be suitable for specific applications.

Tables Icon

Table 8. Overview of Energy Consumption and Loss Characteristics of Various Modulatorsa

5. ENHANCING THE SENSITIVITY OF PHOTODETECTOR

There are three possible approaches to lower the energy consumption from the receiving end of optical data communication systems. One is to lower the energy consumption of the photodetector itself. However, compared with other active photonic devices such as the laser and modulator, the energy consumption of a photodetector is actually very small and therefore may not worth the effort to reduce it. Another way is to lower the capacitance of the photodetector so that the power-hungry supporting circuits such as trans-impedance amplifier (TIA) may be eliminated [146148]. Unfortunately, low capacitance usually accompanies a small junction area that will reduce the responsivity, which, in turn, will require more power to amplify the output signal. The third approach is to enhance the sensitivity of the photodetectors; hence, it could detect a weaker optical signal and reduce the link power budget.

Two kinds of photodetectors are considered in silicon photonic systems: avalanche photodiode (APD) and PIN detector. In the first impression, compared with PIN detectors, an APD requires higher bias voltage and generates a larger dark current, while an APD can usually achieve 5–10 dB better sensitivity than that of PIN detectors due to its multiplication capability [149]. In this sense, an APD is naturally a high-sensitivity photodetector, noting that a meaningful high sensitivity comes together with a high responsivity and low noise power.

At an early stage, a Si-based APD was used in first-generation optical communication systems with a wavelength around 850 nm because it had a large absorption coefficient at this wavelength. Furthermore, the low ionization ratio of electrons and holes (k) in Si [150] was extremely attractive in accomplishing high avalanche gain [151,152]. To harness the optical fiber communication windows of low attenuation and dispersion, photodetectors operating at a longer wavelength are needed. Because silicon is transparent to this new optical fiber communication wavelength, InGaAs layer was initially grown on other substrates and then transferred to the silicon substrate, as indicated in Fig. 17. The shortfall of this approach is that the cost is too high and not compatible with the CMOS.

 figure: Fig. 17.

Fig. 17. Schematic InGaAs-on-Si single photon APD structure. Figure is revised from [152].

Download Full Size | PDF

Ge is another potential material used for the photodetector because it has a large absorption coefficient in the near-infrared wavelength, and hetero-epitaxial growth of the Ge directly on silicon was also developed, which could effectively simplify the fabrication process and simultaneously reduce the cost. Accordingly, the Ge detector, including the Ge PIN detector and Ge APD, has been widely researched and demonstrated. The latter utilizes Ge and Si for light absorption and carrier multiplication [153], respectively (a typical APD structure is shown in Fig. 18). Compared with the Ge PIN detector, the Ge APD has shown much better performance in terms of responsibility and sensitivity due to its multiplication capability, as indicated in Table 9. The responsibility and sensitivity of Ge APD reached up to over 8 A/W and 30dBm at the bit error rate (BER) of 1×1012, respectively.

Tables Icon

Table 9. Typical Experimental Results of Receivers Based on Ge Detectors in Recent Yearsa

 figure: Fig. 18.

Fig. 18. Schematic of the germanium/silicon APD. Figure is revised from [153].

Download Full Size | PDF

However, the Ge APD usually suffers from excessively high bias voltage, making it impossible to be integrated with CMOS circuits. A waveguide-integrated APD structure was proposed later to deal with this issue, and the bias voltage was reduced to 3 V [159]. Additionally, the intrinsically amplification noise in this waveguide-integrated APD is restrained by the strong nonuniform electric fields, produced by the interdigitated metal-semiconductor-metal (MSM) contacts, as indicated in Fig. 19. Consequently, the amplification noise was reduced for over 70%. Nevertheless, the large dark current (50μA) induced by the MSM contacts and the low responsivity eventually restricted the sensitivity of APD to only 13.9dBm. To address this issue, a PIN structure Ge APD was proposed and wire-bonded to a low-noise TIA, and the dark current is dramatically reduced to 17 nA at 1V [160]. Therefore, the sensitivity was effectively improved, reaching up to 23.4 at a BER of 1012 under 5.9V bias voltage.

 figure: Fig. 19.

Fig. 19. Schematic of the waveguide-integrated Ge/Si APD. The detector is biased though metallic interdigitated contacts consisting of W plugs and Cu wires. Figure is reproduced from [159].

Download Full Size | PDF

In summary, considering the trade-off among the cost, gain bandwidth, and receiver sensitivity, the waveguide-integrated Ge/Si APD is winning against other competitors. Further enhancing the sensitivity and responsivity and reducing the dark current and bias voltage may lead to a silicon photonic data communication system with much reduced energy consumption.

6. CONCLUSIONS

In this review, we present a comprehensive energy consumption analysis of the key components in silicon photonic systems. To lower the energy consumption in silicon photonic systems, so that they can replace some electrical data communication systems for now and meet the aggressive energy demands in the future systems, the following guidelines are suggested.

When size is not critical, MZI-based devices could achieve athermal performance without extra energy consumption. Microring-based devices have smaller sizes but need high negative-TOC material to eliminate the thermo-optic-related energy consumption, which is still under research. Athermal microring structure is difficult to design, but it might be an ideal solution to the thermo-optic issue associated with microring-based devices. Direct mounting integration of III–V-based Si laser is the best approach to obtain high OWPE for now, while III–V QD laser on Si via direct hetero-epitaxy growth is extremely appealing for high-density large-scale integration in the long term. Modulation energy, stabilization energy, and IL should be taken into account when considering the energy consumption of modulators. Judging from current state-of-the-art devices and the challenges they face, a coupling modulator might be one of the promising solutions that requires minimal tuning range and has huge potential for future improvement. The sensitivity of photodetectors is the most crucial parameter that directly influences the total energy consumption of a silicon photonic system. Benefiting from its multiplication capability and CMOS compatible process, waveguide-integrated Ge/Si APD could obtain the highest sensitivity with low cost, thus effectively reducing the required incident optical power and increasing the link loss budget.

ACKNOWLEDGMENT

This work was partially supported by the Major International Cooperation and Exchange Program of the National Natural Science Foundation of China under Grant 61120106012.

REFERENCES

1. D. A. B. Miller, “Device requirement for optical interconnects to silicon chips,” Proc. IEEE 97, 1166–1185(2009).

2. P. Dong, S. Liao, D. Feng, H. Liang, D. Zheng, R. Shafiiha, C. Kung, W. Qian, G. Li, X. Zheng, A. V. Krishnamoorthy, and M. Asghari, “Low Vpp, ultralow-energy, compact, high-speed silicon electro-optic modulator,” Opt. Express 17, 22484–22490 (2009). [CrossRef]  

3. G. P. Conservation, “ITU-T G-Series recommendations-supplement 45 (G. sup45),” ITU-T, May (2009).

4. B. Skubic and D. Hood, “Evaluation of ONU power saving modes for gigabit-capable passive optical networks,” IEEE Network 25, 20–24 (2011).

5. L. Valcarenghi, P. G. Raponi, P. Castoldi, D. R. Campelo, S. Wong, S. Yen, L. G. Kazovsky, and S. Yamashita, “Energy efficiency in passive optical networks: where, when, and how?” IEEE Network 26, 61–68 (2012).

6. J. Baliga, R. Ayre, K. Hinton, W. V. Sorin, and R. S. Tucker, “Energy consumption in optical IP networks,” J. Lightwave Technol. 27, 2391–2403 (2009). [CrossRef]  

7. J. W. Goodman, F. J. Leonberger, and R. A. Athale, “Optical interconnections for VLSI systems,” Proc. IEEE 72, 850–866 (1984).

8. C. Gunn, “CMOS photonics for high-speed interconnects,” IEEE Micro 26, 58–66 (2006). [CrossRef]  

9. Z. Zhou, X. Wang, H. Yi, Z. Tu, W. Tan, Q. Long, M. Yin, and Y. Huang, “Silicon photonics for advanced optical communication systems,” Opt. Eng. 52, 45007 (2013). [CrossRef]  

10. Z. Zhou, Z. Tu, T. Li, and X. Wang, “Silicon photonics for advanced optical interconnections,” J. Lightwave Technol. 33, 928–933 (2015). [CrossRef]  

11. F. G. Della Corte, M. Esposito Montefusco, L. Moretti, I. Rendina, and G. Cocorullo, “Temperature dependence analysis of the thermo-optic effect in silicon by single and double oscillator models,” J. Appl. Phys. 88, 7115 (2000). [CrossRef]  

12. K. Padmaraju and K. Bergman, “Resolving the thermal challenges for silicon microring resonator devices,” Nanophotonics 3, 269–281 (2014). [CrossRef]  

13. R. Ho, P. Amberg, E. Chang, P. Koka, J. Lexau, G. Li, F. Y. Liu, H. Schwetman, I. Shubin, H. D. Thacker, X. Zheng, J. E. Cunningham, and A. V. Krishnamoorthy, “Silicon photonic interconnects for large-scale computer systems,” IEEE Micro 33, 68–78 (2013). [CrossRef]  

14. H. X. Yi, T. T. Li, J. L. Zhang, X. J. Wang, and Z. Zhou, “Temperature-independent broadband silicon modulator,” Opt. Commun. 340, 107–109 (2015). [CrossRef]  

15. D. W. Kim, A. Barkai, R. Jones, N. Elek, H. Nguyen, and A. Liu, “Silicon-on-insulator eight-channel optical multiplexer based on a cascade of asymmetric Mach-Zehnder interferometers,” Opt. Lett. 33, 530–532 (2008). [CrossRef]  

16. M. Moooka and U. Teruaki, “Temperature-independent silicon waveguide optical filter,” Opt. Lett. 34, 599–601 (2009). [CrossRef]  

17. B. Guha, A. Gondarenko, and M. Lipson, “Minimizing temperature sensitivity of silicon Mach-Zehnder interferometers,” Opt. Express 18, 1879 (2010). [CrossRef]  

18. S. Dwivedi, H. D’Heer, and W. Bogaerts, “A compact all-silicon temperature insensitive filter for WDM and bio-sensing applications,” IEEE Photon. Technol. Lett. 25, 2167–2170 (2013). [CrossRef]  

19. S. Jeong, D. Shimura, T. Simoyama, T. Horikawa, Y. Tanaka, and K. Morito, “Si-nanowire-based multistage delayed Mach-Zehnder interferometer optical MUX/DeMUX fabricated by an ArF-immersion lithography process on a 300 mm SOI wafer,” Opt. Lett. 39, 3702–3705 (2014). [CrossRef]  

20. F. Horst, W. M. J. Green, S. Assefa, S. M. Shank, Y. A. Vlasov, and B. J. Offrein, “Cascaded Mach-Zehnder wavelength filters in silicon photonics for low loss and flat pass-band WDM (de-)multiplexing,” Opt. Express 21, 11652–11658 (2013). [CrossRef]  

21. S. Assefa, S. Shank, W. Green, M. Khater, E. Kiewra, C. Reinholm, S. Kamlapurkar, A. Rylyakov, C. Schow, F. Horst, H. Pan, T. Topuria, P. Rice, D. M. Gill, J. Rosenberg, T. Barwicz, M. Yang, J. Proesel, J. Hofrichter, B. Offrein, X. Gu, W. Haensch, J. Ellis-Monaghan, and Y. Vlasov, “A 90 nm CMOS integrated nano-photonics technology for 25 Gbps WDM optical communications applications,” in IEEE International Electron Devices Meeting (IEDM) (IEEE, 2012), pp. 31–33.

22. L. Z. X. S. Liangjun Lu, “CMOS-compatible temperature-independent tunable silicon optical lattice filters,” Opt. Express 21, 9447–9456 (2013). [CrossRef]  

23. M. R. Watts, J. Sun, C. DeRose, D. C. Trotter, R. W. Young, and G. N. Nielson, “Adiabatic thermo-optic Mach-Zehnder switch,” Opt. Lett. 38, 733–735 (2013). [CrossRef]  

24. J. Van Campenhout, W. Green, S. Assefa, and Y. A. Vlasov, “Integrated NiSi waveguide heaters for CMOS-compatible silicon thermo-optic devices,” Opt. Lett. 35, 1013–1015 (2010). [CrossRef]  

25. V. Raghunathan, W. N. Ye, J. Hu, T. Izuhara, J. Michel, and L. Kimerling, “Athermal operation of silicon waveguides: spectral, second order and footprint dependencies,” Opt. Express 18, 17631–17639 (2010). [CrossRef]  

26. B. Guha, B. B. C. Kyotoku, and M. Lipson, “CMOS-compatible athermal silicon microring resonators,” Opt. Express 18, 3487–3493 (2010). [CrossRef]  

27. Q. Deng, X. Li, Z. Zhou, and H. Yi, “Athermal scheme based on resonance splitting for silicon-on-insulator microring resonators,” Photon. Res. 2, 71–74 (2014). [CrossRef]  

28. Y. Kokubun, N. Funato, and M. Takizawa, “Athermal wave-guides for temperature-independent lightwave devices,” IEEE Photon. Technol. Lett. 5, 1297–1300 (1993). [CrossRef]  

29. J. Lee, D. Kim, H. Ahn, S. Park, and G. Kim, “Temperature dependence of silicon nanophotonic ring resonator with a polymeric overlayer,” J. Lightwave Technol. 25, 2236–2243 (2007). [CrossRef]  

30. W. N. Ye, J. Michel, and L. C. Kimerling, “Athermal high-index-contrast waveguide design,” IEEE Photon. Technol. Lett. 20, 885–887 (2008). [CrossRef]  

31. P. D. W. B. Jie Teng, “Athermal silicon-on-insulator ring resonators by overlaying a polymer cladding on narrowed waveguides,” Opt. Express 17, 14627–14633 (2009). [CrossRef]  

32. M. M. Milo Evi, N. G. Emerson, F. Y. Gardes, X. Chen, A. A. D. T. Adikaari, and G. Z. Mashanovich, “Athermal waveguides for optical communication wavelengths,” Opt. Lett. 36, 4659–4661 (2011). [CrossRef]  

33. B. Guha, J. Cardenas, and M. Lipson, “Athermal silicon microring resonators with titanium oxide cladding,” Opt. Express 21, 26557–26563 (2013). [CrossRef]  

34. S. S. Djordjevic, K. Shang, B. Guan, S. T. S. Cheung, L. Liao, J. Basak, H.-F. Liu, and S. J. B. Yoo, “CMOS-compatible, athermal silicon ring modulators clad with titanium dioxide,” Opt. Express 21, 13958–13968 (2013). [CrossRef]  

35. J. Lee, D. Kim, G. Kim, O. Kwon, K. Kim, and G. Kim, “Controlling temperature dependence of silicon waveguide using slot structure,” Opt. Express 16, 1645–1652 (2008). [CrossRef]  

36. V. Raghunathan, T. Izuhara, J. Michel, and L. Kimerling, “Stability of polymer-dielectric bi-layers for athermal silicon photonics,” Opt. Express 20, 16059–16066 (2012). [CrossRef]  

37. N. Sherwood-Droz, H. Wang, L. Chen, B. G. Lee, A. Biberman, K. Bergman, and M. Lipson, “Optical 4 × 4 hitless silicon router for optical networks-on-chip (NoC),” Opt. Express 16, 15915–15922 (2008). [CrossRef]  

38. M. R. Watts, W. A. Zortman, D. C. Trotter, G. N. Nielson, D. L. Luck, and R. W. Young, “Adiabatic resonant microrings (ARMs) with directly integrated thermal microphotonics,” in Conference on Lasers and Electro-Optics and Quantum Electronics and Laser Science Conference (CLEO/QELS) (2009), Vols. 1–5, pp. 812–813.

39. P. Dong, W. Qian, H. Liang, R. Shafiiha, N. N. Feng, D. Feng, X. Zheng, A. V. Krishnamoorthy, and M. Asghari, “Low power and compact reconfigurable multiplexing devices based on silicon microring resonators,” Opt. Express 18, 9852–9858 (2010). [CrossRef]  

40. P. Sun and R. M. Reano, “Submilliwatt thermo-optic switches using free-standing silicon-on-insulator strip waveguides,” Opt. Express 18, 8406–8411 (2010). [CrossRef]  

41. P. De Heyn, J. De Coster, P. Verheyen, G. Lepage, M. Pantouvaki, P. Absil, W. Bogaerts, J. Van Campenhout, D. Van Thourhout, P. D. Heyn, S. Member, and J. D. Coster, “Fabrication-tolerant four-channel wavelength-division-multiplexing filter based on collectively tuned Si microrings,” J. Lightwave Technol. 31, 2785–2792 (2013). [CrossRef]  

42. S. Jeong, D. Shimura, T. Simoyama, M. Seki, N. Yokoyama, M. Ohtsuka, K. Koshino, T. Horikawa, Y. Tanaka, and K. Morito, “Low-loss, flat-topped and spectrally uniform silicon-nanowire-based 5th-order CROW fabricated by ArF-immersion lithography process on a 300-mm SOI wafer,” Opt. Express 21, 30163–30174 (2013). [CrossRef]  

43. B. E. Little, S. T. Chu, P. P. Absil, J. V. Hryniewicz, F. G. Johnson, F. Seiferth, D. Gill, V. Van, O. King, and M. Trakalo, “Very high-order microring resonator filters for WDM applications,” IEEE Photon. Technol. Lett. 16, 2263–2265 (2004). [CrossRef]  

44. P. Chen, S. Chen, X. Guan, Y. Shi, and D. Dai, “High-order microring resonators with bent couplers for a box-like filter response,” Opt. Lett. 39, 6304–6307 (2014). [CrossRef]  

45. O. Boyraz and B. Jalali, “Demonstration of a silicon Raman laser,” Opt. Express 12, 5269–5273 (2004). [CrossRef]  

46. A. Polman, B. Min, J. Kalkman, T. J. Kippenberg, and K. J. Vahala, “Ultralow-threshold erbium-implanted toroidal microlaser on silicon,” Appl. Phys. Lett. 84, 1037–1039 (2004). [CrossRef]  

47. J. Liu, X. Sun, R. Camacho-Aguilera, L. C. Kimerling, and J. Michel, “Ge-on-Si laser operating at room temperature,” Opt. Lett. 35, 679–681 (2010). [CrossRef]  

48. R. E. Camacho-Aguilera, Y. Cai, N. Patel, J. T. Bessette, M. Romagnoli, L. C. Kimerling, and J. Michel, “An electrically pumped germanium laser,” Opt. Express 20, 11316–11320 (2012). [CrossRef]  

49. A. W. Fang, H. Park, O. Cohen, R. Jones, M. J. Paniccia, and J. E. Bowers, “Electrically pumped hybrid AlGaInAs-silicon evanescent laser,” Opt. Express 14, 9203–9210 (2006). [CrossRef]  

50. J. Van Campenhout, P. Rojo Romeo, P. Regreny, C. Seassal, D. Van Thourhout, S. Verstuyft, L. Di Cioccio, J. Fedeli, C. Lagahe, and R. Baets, “Electrically pumped InP-based microdisk lasers integrated with a nanophotonic silicon-on-insulator waveguide circuit,” Opt. Express 15, 6744–6749 (2007). [CrossRef]  

51. H. Rong, A. Liu, R. Jones, O. Cohen, D. Hak, R. Nicolaescu, A. Fang, and M. Paniccia, “An all-silicon Raman laser,” Nature 433, 292–294 (2005). [CrossRef]  

52. H. Rong, R. Jones, A. Liu, O. Cohen, D. Hak, A. Fang, and M. Paniccia, “A continuous-wave Raman silicon laser,” Nature 433, 725–728 (2005). [CrossRef]  

53. H. Rong, S. Xu, Y. Kuo, V. Sih, O. Cohen, O. Raday, and M. Paniccia, “Low-threshold continuous-wave Raman silicon laser,” Nat. Photonics 1, 232–237 (2007). [CrossRef]  

54. Y. Takahashi, Y. Inui, M. Chihara, T. Asano, R. Terawaki, and S. Noda, “A micrometre-scale Raman silicon laser with a microwatt threshold,” Nature 498, 470–474 (2013). [CrossRef]  

55. B. Min, T. J. Kippenberg, L. Yang, K. J. Vahala, J. Kalkman, and A. Polman, “Erbium-implanted high-Q silica toroidal microcavity laser on a silicon chip,” Phys. Rev. A 70, 1–12 (2004). [CrossRef]  

56. T. J. Kippenberg, J. Kalkman, A. Polman, and K. J. Vahala, “Demonstration of an erbium-doped microdisk laser on a silicon chip,” Phys. Rev. A 74, 72–75 (2006). [CrossRef]  

57. H. Hsu, C. Cai, and A. M. Armani, “Ultra-low-threshold Er:Yb sol-gel microlaser on silicon,” Opt. Express 17, 23265–23271 (2009). [CrossRef]  

58. E. H. Bernhardi, H. A. G. M. van Wolferen, L. Agazzi, M. R. H. Khan, C. G. H. Roeloffzen, K. Wörhoff, M. Pollnau, and R. M. de Ridder, “Ultra-narrow-linewidth, single-frequency distributed feedback waveguide laser in Al2O3:Er3+ on silicon,” Opt. Lett. 35, 2394–2396 (2010). [CrossRef]  

59. Purnawirman, J. Sun, T. N. Adam, G. Leake, D. Coolbaugh, J. D. B. Bradley, E. Shah Hosseini, and M. R. Watts, “C-and L-band erbium-doped waveguide lasers with wafer-scale silicon nitride cavities,” Opt. Lett. 38, 1760–1765 (2013). [CrossRef]  

60. M. Belt and D. J. Blumenthal, “Erbium-doped waveguide DBR and DFB laser arrays integrated within an ultra-low-loss Si3N4 platform,” Opt. Express 22, 10655–10660 (2014). [CrossRef]  

61. E. S. Hosseini, J. Sun, T. N. Adam, G. Leake, D. D. Coolbaugh, M. R. Watts, A. Baldycheva, and J. D. Bradley, “Erbium-doped laser with multi-segmented silicon nitride structure,” in Optical Fiber Communication Conference, (Optical Society of America, 2014), paper W4E.5.

62. E. S. Hosseini, J. D. B. Bradley, J. Sun, G. Leake, T. N. Adam, D. D. Coolbaugh, and M. R. Watts, “CMOS-compatible 75 mW erbium-doped distributed feedback laser,” Opt. Lett. 39, 3106–3109 (2014). [CrossRef]  

63. C. Briggs, T. Buxkemper, L. Czaia, H. Green, and S. Gustafson, “Ultra-high-Q toroid microcavity on a chip,” Nature 421, 925–928 (2003). [CrossRef]  

64. G. M. Miller, R. M. Briggs, and H. A. Atwater, “Achieving optical gain in waveguide-confined nanocluster-sensitized erbium by pulsed excitation,” J. Appl. Phys. 108, 063109 (2010). [CrossRef]  

65. O. Jambois, F. Gourbilleau, A. J. Kenyon, J. Montserrat, R. Rizk, and B. Garrido, “Towards population inversion of electrically pumped Er ions sensitized by Si nanoclusters,” Opt. Express 18, 2230–2235 (2010). [CrossRef]  

66. M. Miritello, R. Lo Savio, F. Iacona, G. Franzò, A. Irrera, A. M. Piro, C. Bongiorno, and F. Priolo, “Efficient luminescence and energy transfer in erbium silicate thin films,” Adv. Mater. 19, 1582–1588 (2007). [CrossRef]  

67. K. Suh, M. Lee, J. S. Chang, H. Lee, N. Park, G. Y. Sung, and J. H. Shin, “Cooperative upconversion and optical gain in ion-beam sputter-deposited Er(x)Y(2-x)SiO(5) waveguides,” Opt. Express 18, 7724–7731 (2010). [CrossRef]  

68. B. Wang, R. Guo, X. Wang, L. Wang, B. Yin, and Z. Zhou, “Large electroluminescence excitation cross section and strong potential gain of erbium in ErYb silicate,” J. Appl. Phys. 113, 103108 (2013). [CrossRef]  

69. J. Liu, X. Sun, D. Pan, X. Wang, L. C. Kimerling, T. L. Koch, and J. Michel, “Tensile-strained, n-type Ge as a gain medium for monolithic laser integration on Si,” Opt. Express 15, 11272–11277 (2007). [CrossRef]  

70. R. E. Camacho-Aguilera, Y. Cai, J. T. Bessette, L. C. Kimerling, and J. Michel, “High active carrier concentration in n-type, thin film Ge using delta-doping,” Opt. Mater. Express 2, 1462–1469 (2012). [CrossRef]  

71. Y. Cai, R. Camacho-Aguilera, J. T. Bessette, L. C. Kimerling, and J. Michel, “High phosphorous doped germanium: dopant diffusion and modeling,” J. Appl. Phys. 112, 034509 (2012). [CrossRef]  

72. C. Van de Walle, “Band lineups and deformation potentials in the model-solid theory,” Phys. Rev. B 39, 1871–1883 (1989). [CrossRef]  

73. Y. Ishikawa, K. Wada, D. D. Cannon, J. Liu, H. Luan, and L. C. Kimerling, “Strain-induced band gap shrinkage in Ge grown on Si substrate,” Appl. Phys. Lett. 82, 2044–2046 (2003). [CrossRef]  

74. B. Dutt, D. S. Sukhdeo, B. M. Vulovic, S. Gupta, K. C. Saraswat, and J. S. Harris, “Theoretical analysis of GeSn alloys as a gain medium for a Si-compatible laser,” IEEE J. Sel. Top. Quantum Electron. 19, 1502706 (2013). [CrossRef]  

75. B. Dutt, D. S. Sukhdeo, D. Nam, B. M. Vulovic, and K. C. Saraswat, “Roadmap to an efficient germanium-on-silicon laser: strain vs. n-type doping,” IEEE Photon. J. 4, 2002–2009 (2012). [CrossRef]  

76. M. J. Süess, R. Geiger, R. A. Minamisawa, G. Schiefler, J. Frigerio, D. Chrastina, G. Isella, R. Spolenak, J. Faist, and H. Sigg, “Analysis of enhanced light emission from highly strained germanium microbridges,” Nat. Photonics 7, 466–472 (2013). [CrossRef]  

77. R. Geiger, M. J. Suess, R. A. Minamisawa, C. Bonzon, G. Schiefler, J. Frigerio, D. Chrastina, G. Isella, R. Spolenak, J. Faiste, and H. Sigg, “Enhanced light emission from Ge micro bridges uniaxially strained beyond 3%,” in IEEE International Conference on Group IV Photonics GFP (IEEE, 2013), pp. 93–94.

78. D. S. Sukhdeo, D. Nam, J. Kang, J. Petykiewicz, J. H. Lee, W. S. Jung, J. Vuckovic, M. L. Brongersma, and K. C. Saraswat, “Direct bandgap germanium nanowires inferred from 5.0% uniaxial tensile strain,” in IEEE International Conference on Group IV Photonics GFP (IEEE, 2013), pp. 73–74.

79. D. S. Sukhdeo, D. Nam, J. Kang, M. L. Brongersma, and K. C. Saraswat, “Direct bandgap germanium-on-silicon inferred from 5.7% 〈100〉 uniaxial tensile strain invited,” Photon. Res. 2, A8–A13 (2014). [CrossRef]  

80. S. Wirths, R. Geiger, N. von den Driesch, G. Mussler, T. Stoica, S. Mantl, Z. Ikonic, M. Luysberg, S. Chiussi, J. M. Hartmann, H. Sigg, J. Faist, D. Buca, and D. Grützmacher, “Lasing in direct-bandgap GeSn alloy grown on Si,” Nat. Photonics 9, 88–92 (2015). [CrossRef]  

81. T. Mitze, M. Schnarrenberger, L. Zimmermann, J. Bruns, F. Fidorra, J. Kreissl, K. Janiak, S. Fidorra, H. Heidrich, and K. Petermann, “Hybrid integration of III/V lasers on a silicon-on-insulator (SOI) optical board,” in 2nd IEEE International Conference on Group IV Photonics (IEEE, 2005), pp. 210–212.

82. S. Tanaka, S. Jeong, S. Sekiguchi, T. Kurahashi, Y. Tanaka, and K. Morito, “High-output-power, single-wavelength silicon hybrid laser using precise flip-chip bonding technology,” Opt. Express 20, 28057–28069 (2012). [CrossRef]  

83. N. Hatori, T. Shimizu, M. Okano, M. Ishizaka, T. Yamamoto, Y. Urino, M. Mori, T. Nakamura, and Y. Arakawa, “A novel spot size convertor for hybrid integrated light sources on photonics-electronics convergence system,” in 2012 IEEE 9th International Conference on Group IV Photonics (GFP), (IEEE, 2012), pp. 171–173.

84. Y. Urino, T. Usuki, J. Fujikata, M. Ishizaka, K. Yamada, T. Horikawa, T. Nakamura, and Y. Arakawa, “High-density optical interconnects by using silicon photonics,” Proc. SPIE 9010, 901006 (2014). [CrossRef]  

85. H. Park, A. W. Fang, S. Kodama, and J. E. Bowers, “Hybrid silicon evanescent laser fabricated with a silicon waveguide and III–V offset quantum wells,” Opt. Express 13, 9460 (2005). [CrossRef]  

86. D. Liang, D. C. Chapman, Y. Li, D. C. Oakley, T. Napoleone, P. W. Juodawlkis, C. Brubaker, C. Mann, H. Bar, O. Raday, and J. E. Bowers, “Uniformity study of wafer-scale InP-to-silicon hybrid integration,” Appl. Phys. A 103, 213–218 (2011). [CrossRef]  

87. T. Hong, G. Ran, T. Chen, J. Pan, W. Chen, Y. Wang, Y. Cheng, S. Liang, L. Zhao, L. Yin, J. Zhang, W. Wang, and G. Qin, “A selective-area metal bonding InGaAsP-Si laser,” IEEE Photon. Technol. Lett. 22, 1141–1143 (2010). [CrossRef]  

88. F. Niklaus, P. Enoksson, E. Kälvesten, and G. Stemme, “Low-temperature full wafer adhesive bonding,” J. Micromech. Microeng. 11, 100–107 (2001). [CrossRef]  

89. S. Keyvaninia, M. Muneeb, S. Stanković, P. J. Van Veldhoven, D. Van Thourhout, and G. Roelkens, “Ultra-thin DVS-BCB adhesive bonding of III–V wafers, dies and multiple dies to a patterned silicon-on-insulator substrate,” Opt. Mater. Express 3, 35–46 (2012). [CrossRef]  

90. J. Van Campenhout, P. Rojo-Romeo, D. Van Thourhout, C. Seassal, P. Regreny, L. Di Cioccio, J. Fedeli, and R. Baets, “Thermal characterization of electrically injected thin-film InGaAsP microdisk lasers on Si,” J. Lightwave Technol. 25, 1543–1548 (2007). [CrossRef]  

91. M. N. Sysak, D. Liang, R. Jones, G. Kurczveil, M. Piels, M. Fiorentino, R. G. Beausoleil, and J. E. Bowers, “Hybrid silicon laser technology: a thermal perspective,” IEEE J. Sel. Top. Quantum Electron. 17, 1490–1498 (2011). [CrossRef]  

92. Z. Wang, Z. Sheng, C. Qiu, H. Li, A. Wu, X. Wang, S. Zou, and F. Gan, “Optimization and thermal analysis of hybrid microdisk lasers,” in 10th International Conference on Group IV Photonics, Seoul, Korea (South), Aug. , 2013, Vol. 8, pp. 49–50.

93. X. Sun, A. Zadok, M. J. Shearn, K. A. Diest, A. Ghaffari, H. A. Atwater, A. Scherer, and A. Yariv, “Electrically pumped hybrid evanescent Si/InGaAsP lasers,” Opt. Lett. 34, 1345–1347 (2009). [CrossRef]  

94. M. Lamponi, S. Keyvaninia, C. Jany, F. Poingt, F. Lelarge, G. de Valicourt, G. Roelkens, D. Van Thourhout, S. Messaoudene, J. Fedeli, and G. H. Duan, “Low-threshold heterogeneously integrated InP/SOI lasers with a double adiabatic taper coupler,” IEEE Photon. Technol. Lett. 24, 76–78 (2012). [CrossRef]  

95. S. Keyvaninia, S. Verstuyft, L. V. Landschoot, D. V. Thourhout, and G. Roelkens, “III–V/silicon first order distributed feedback lasers integrated on SOI waveguide,” in 39th European Conference and Exhibition on Optical Communication (ECOC), London, UK, Sept. , 2013, pp. 3–6.

96. A. W. Fang, B. R. Koch, R. Jones, E. Lively, D. Liang, Y. H. Kuo, and J. E. Bowers, “A distributed Bragg reflector silicon evanescent laser,” IEEE Photon. Technol Lett. 20, 1667–1669 (2008).

97. S. Keyvaninia, S. Verstuyft, S. Pathak, F. Lelarge, G. H. Duan, D. Bordel, J. M. Fedeli, T. De Vries, B. Smalbrugge, E. J. Geluk, J. Bolk, M. Smit, G. Roelkens, and D. Van Thourhout, “III–V-on-silicon multi-frequency lasers,” Opt. Express 21, 13675–13683 (2013). [CrossRef]  

98. D. Bordel, A. Descos, B. Ben Bakir, P. Brianceau, H. Duprez, S. Menezo, G. B. de Farias, and C. Jany, “Heterogeneously integrated III–V/Si distributed Bragg reflector laser with adiabatic coupling,” in 39th European Conference and Exhibition on Optical Communication (ECOC), London, UK, Sept. , 2013, pp. 687–689.

99. B. R. Koch, E. J. Norberg, B. Kim, J. Hutchinson, J. Shin, G. Fish, and A. Fang, “Integrated silicon photonic laser sources for telecom and datacom,” in Optical Fiber Communication Conference/National Fiber Optic Engineers Conference, (Optical Society of America, 2013), pp. PDP5C.8.

100. D. Liang, M. Fiorentino, T. Okumura, H. Chang, D. T. Spencer, Y. Kuo, A. W. Fang, D. Dai, R. G. Beausoleil, and J. E. Bowers, “Electrically-pumped compact hybrid silicon microring lasers for optical interconnects,” Opt. Express 17, 20355–20364 (2009). [CrossRef]  

101. K. Takeda, T. Sato, T. Fujii, E. Kuramochi, M. Notomi, K. Hasebe, T. Kakitsuka, and S. Matsuo, “Heterogeneously integrated photonic-crystal lasers on silicon for on/off chip optical interconnects,” Opt. Express 23, 702–708 (2015). [CrossRef]  

102. M. E. Groenert, C. W. Leitz, A. J. Pitera, V. Yang, H. Lee, R. J. Ram, and E. A. Fitzgerald, “Monolithic integration of room-temperature cw GaAs/AlGaAs lasers on Si substrates via relaxed graded GeSi buffer layers,” J. Appl. Phys. 93, 362–367 (2003). [CrossRef]  

103. Y. Chriqui, L. Largeau, G. Patriarche, G. Saint-Girons, S. Bouchoule, I. Sagnes, D. Bensahel, Y. Campidelli, and O. Kermarrec, “Direct growth of GaAs-based structures on exactly (001)-oriented Ge/Si virtual substrates: reduction of the structural defect density and observation of electroluminescence at room temperature under CW electrical injection,” J. Cryst. Growth 265, 53–59 (2004). [CrossRef]  

104. H. Liu, A. Lee, Q. Jiang, and A. Seeds, “InAs/GaAs quantum-dot lasers monolithically grown on Si substrate,” in IEEE Photonics Conference (IPC), (IEEE, 2012), pp. 882–883.

105. H. Liu, T. Wang, Q. Jiang, R. Hogg, F. Tutu, F. Pozzi, and A. Seeds, “Long-wavelength InAs/GaAs quantum-dot laser diode monolithically grown on Ge substrate,” Nat. Photonics 5, 416–419 (2011). [CrossRef]  

106. A. Lee, Q. Jiang, M. Tang, A. Seeds, and H. Liu, “Continuous-wave InAs/GaAs quantum-dot laser diodes monolithically grown on Si substrate with low threshold current densities,” Opt. Express 20, 22181–22187 (2012). [CrossRef]  

107. G. Si, G. Substrates, A. D. Lee, Q. Jiang, M. Tang, Y. Zhang, A. J. Seeds, and H. Liu, “InAs/GaAs quantum-dot lasers monolithically grown on Si, Ge, and Ge-on-Si substrate,” IEEE J. Sel. Top. Quantum Electron. 19, 1901107 (2013). [CrossRef]  

108. M. Tang, S. Chen, J. Wu, Q. Jiang, V. G. Dorogan, M. Benamara, Y. I. Mazur, G. J. Salamo, A. Seeds, and H. Liu, “1.3-μm InAs/GaAs quantum-dot lasers monolithically grown on Si substrates using InAlAs/GaAs dislocation filter layers,” Opt. Express 22, 11528–11535 (2014). [CrossRef]  

109. Q. Jiang, A. J. Seeds, V. G. Dorogan, H. Liu, M. C. Tang, Y. I. Mazur, J. Wu, G. J. Salamo, M. Benamara, and S. M. Chen, “1.3 μm InAs/GaAs quantum-dot laser monolithically grown on Si substrates operating over 100°C,” Electron. Lett. 50, 1467–1468 (2014). [CrossRef]  

110. A. Y. Liu, C. Zhang, A. Snyder, D. Lubychev, J. M. Fastenau, A. W. K. Liu, A. C. Gossard, and J. E. Bowers, “High performance 1.3 μm InAs quantum dot lasers epitaxially grown on silicon,” in Optical Fiber Communication Conference (Optical Society of America, 2014), paper W4C.5.

111. A. Y. Liu, C. Zhang, J. Norman, A. Snyder, D. Lubyshev, J. M. Fastenau, A. W. K. Liu, A. C. Gossard, and J. E. Bowers, “High performance continuous wave 1.3 μm quantum dot lasers on silicon,” Appl. Phys. Lett. 104, 41104 (2014). [CrossRef]  

112. G. T. Reed, G. Mashanovich, F. Y. Gardes, and D. J. Thomson, “Silicon optical modulators,” Nat. Photonics 4, 518–526 (2010). [CrossRef]  

113. E. Timurdogan, C. M. Sorace-Agaskar, J. Sun, E. Shah Hosseini, A. Biberman, and M. R. Watts, “An ultralow power athermal silicon modulator,” Nat. Commun. 5, 1–11 (2014). [CrossRef]  

114. J. C. Rosenberg, W. M. Green, S. Assefa, D. M. Gill, T. Barwicz, M. Yang, S. M. Shank, and Y. A. Vlasov, “A 25 Gbps silicon microring modulator based on an interleaved junction,” Opt. Express 20, 26411–26423 (2012). [CrossRef]  

115. X. Xiao, X. Li, H. Xu, Y. Hu, K. Xiong, Z. Li, T. Chu, J. Yu, and Y. Yu, “44-Gb/s silicon microring modulators based on zigzag PN junctions,” IEEE Photon. Technol. Lett. 24, 1712–1714 (2012). [CrossRef]  

116. S. Manipatruni, K. Preston, L. Chen, and M. Lipson, “Ultra-low voltage, ultra-small mode volume silicon microring modulator,” Opt. Express 18, 18235–18242 (2010). [CrossRef]  

117. T. Baba, S. Akiyama, M. Imai, N. Hirayama, H. Takahashi, Y. Noguchi, T. Horikawa, and T. Usuki, “50-Gb/s ring-resonator-based silicon modulator,” Opt. Express 21, 11869 (2013). [CrossRef]  

118. M. R. Watts, W. A. Zortman, D. C. Trotter, R. W. Young, and A. L. Lentine, “Vertical junction silicon microdisk modulators and switches,” Opt. Express 19, 21989–22003 (2011). [CrossRef]  

119. A. Biberman, E. Timurdogan, W. A. Zortman, D. C. Trotter, and M. R. Watts, “Adiabatic microring modulators,” Opt. Express 20, 29223–29236 (2012). [CrossRef]  

120. E. Timurdogan, C. M. Sorace-Agaskar, E. S. Hosseini, and M. R. Watts, “An interior-ridge silicon microring modulator,” J. Lightwave Technol. 31, 3907–3914 (2013). [CrossRef]  

121. X. Li, Q. Deng, and Z. Zhou, “Low loss, high-speed single-mode half-disk resonator,” Opt. Lett. 39, 3810–3813 (2014). [CrossRef]  

122. A. Yariv, “Critical coupling and its control in optical waveguide-ring resonator systems,” IEEE Photon. Technol. Lett. 14, 483–485 (2002). [CrossRef]  

123. W. D. Sacher and J. K. Poon, “Dynamics of microring resonator modulators,” Opt. Express 16, 15741–15753 (2008). [CrossRef]  

124. W. M. J. Green, R. K. Lee, G. A. DeRose, A. Scherer, and A. Yariv, “Hybrid InGaAsP-InP Mach-Zehnder racetrack resonator for thermooptic switching and coupling control,” Opt. Express 13, 1651–1659 (2005). [CrossRef]  

125. W. M. J. Green, M. J. Rooks, L. Sekaric, and Y. A. Vlasov, “Optical modulation using anti-crossing between paired amplitude and phase resonators,” Opt. Express 15, 17264–17272 (2007). [CrossRef]  

126. W. D. Sacher, W. M. J. Green, S. Assefa, T. Barwicz, H. Pan, S. M. Shank, Y. A. Vlasov, and J. K. S. Poon, “Coupling modulation of microrings at rates beyond the linewidth limit,” Opt. Express 21, 9722–9733 (2013). [CrossRef]  

127. S. Karimelahi and A. Sheikholeslami, “PAM-N signaling by coupling modulation in a ring resonator,” Opt. Lett. 40, 332–335 (2015). [CrossRef]  

128. W. D. Sacher, W. M. J. Green, D. M. Gill, S. Assefa, T. Barwicz, M. Khater, E. Kiewra, C. Reinholm, S. M. Shank, Y. A. Vlasov, and J. K. S. Poon, “Binary phase-shift keying by coupling modulation of microrings,” Opt. Express 22, 20252–20259 (2014). [CrossRef]  

129. W. D. Sacher and J. K. Poon, “Microring quadrature modulators,” Opt. Lett. 34, 3878–3880 (2009). [CrossRef]  

130. X. Xiao, H. Xu, X. Li, Z. Li, T. Chu, Y. Yu, and J. Yu, “High-speed, low-loss silicon Mach-Zehnder modulators with doping optimization,” Opt. Express 21, 4116–4125 (2013). [CrossRef]  

131. X. Tu, T. Liow, J. Song, X. Luo, Q. Fang, M. Yu, and G. Lo, “50-Gb/s silicon optical modulator with traveling-wave electrodes,” Opt. Express 21, 12776–12782 (2013). [CrossRef]  

132. J. Ding, H. Chen, L. Yang, L. Zhang, R. Ji, Y. Tian, W. Zhu, Y. Lu, P. Zhou, R. Min, and M. Yu, “Ultra-low-power carrier-depletion Mach-Zehnder silicon optical modulator,” Opt. Express 20, 7081–7087 (2012). [CrossRef]  

133. J. Ding, R. Ji, L. Zhang, and L. Yang, “Electro-optical response analysis of a 40 Gb/s silicon Mach-Zehnder optical modulator,” J. Lightwave Technol. 31, 2434–2440 (2013). [CrossRef]  

134. A. M. Gutierrez, A. Brimont, G. Rasigade, M. Ziebell, D. Marris-Morini, J. M. Fedeli, L. Vivien, J. Marti, and P. Sanchis, “Ring-assisted Mach-Zehnder interferometer silicon modulator for enhanced performance,” J. Lightwave Technol. 30, 9–14 (2012). [CrossRef]  

135. X. Xie, J. Khurgin, K. Jin, and F. S. Chow, “Linearized Mach-Zehnder intensity modulator,” IEEE Photon. Technol. Lett. 15, 531–533 (2003). [CrossRef]  

136. H. Yu, M. Pantouvaki, P. Verheyen, G. Lepage, P. Absil, W. Bogaerts, and J. Van Campenhout, “Silicon dual-ring modulator driven by differential signal,” Opt. Lett. 39, 6379–6382 (2014). [CrossRef]  

137. T. Gu, Y. K. Chen, C. W. Wong, and P. Dong, “Cascaded uncoupled dual-ring modulator,” Opt. Lett. 39, 4974–4977 (2014). [CrossRef]  

138. T. Baba, H. C. Nguyen, N. Yazawa, Y. Terada, S. Hashimoto, and T. Watanabe, “Slow-light Mach-Zehnder modulators based on Si photonic crystals,” Sci. Technol. Adv. Mater. 15, 24602 (2014). [CrossRef]  

139. H. C. Nguyen, S. Hashimoto, M. Shinkawa, and T. Baba, “Compact and fast photonic crystal silicon optical modulators,” Opt. Express 20, 22465–22474 (2012). [CrossRef]  

140. H. C. Nguyen, Y. Sakai, M. Shinkawa, N. Ishikura, and T. Baba, “Photonic crystal silicon optical modulators: carrier-injection and depletion at 10 Gb/s,” IEEE J. Quantum Electron. 48, 210–220 (2012). [CrossRef]  

141. S. Meister, H. Rhee, A. Al-Saadi, B. A. Franke, S. Kupijai, C. Theiss, L. Zimmermann, B. Tillack, H. H. Richter, H. Tian, D. Stolarek, T. Schneider, U. Woggon, and H. J. Eichler, “Matching p-i-n-junctions and optical modes enables fast and ultra-small silicon modulators,” Opt. Express 21, 16210 (2013). [CrossRef]  

142. P. Chaisakul, D. Marris-Morini, M. Rouifed, J. Frigerio, D. Chrastina, J. Coudevylle, X. L. Roux, S. Edmond, G. Isella, and L. Vivien, “Recent progress in GeSi electro-absorption modulators,” Sci. Technol. Adv. Mater. 15, 14601 (2014). [CrossRef]  

143. J. Liu, M. Beals, A. Pomerene, S. Bernardis, R. Sun, J. Cheng, L. C. Kimerling, and J. Michel, “Waveguide-integrated, ultralow-energy GeSi electro-absorption modulators,” Nat. Photonics 2, 433–437 (2008). [CrossRef]  

144. P. Chaisakul, D. Marris-Morini, M. S. Rouifed, G. Isella, D. Chrastina, J. Frigerio, X. Le Roux, S. Edmond, J. R. Coudevylle, and L. Vivien, “23 GHz Ge/SiGe multiple quantum well electro-absorption modulator,” Opt. Express 20, 3219–3224 (2012). [CrossRef]  

145. S. Ren, Y. Rong, S. A. Claussen, R. K. Schaevitz, T. I. Kamins, J. S. Harris, and D. A. B. Miller, “Ge/SiGe quantum well waveguide modulator monolithically integrated with SOI waveguides,” IEEE Photon. Technol. Lett. 24, 461–463 (2012). [CrossRef]  

146. C. Debaes, A. Bhatnagar, D. Agarwal, R. Chen, G. A. Keeler, N. C. Helman, H. Thienpont, and D. A. B. Miller, “Receiver-less optical clock injection for clock distribution networks,” IEEE J. Sel. Top. Quantum Electron. 9, 400–409 (2003). [CrossRef]  

147. C. T. DeRose, D. C. Trotter, W. A. Zortman, A. L. Starbuck, M. Fisher, M. R. Watts, and P. S. Davids, “Ultra compact 45 GHz CMOS compatible germanium waveguide photodiode with low dark current,” Opt. Express 19, 24897–24904 (2011). [CrossRef]  

148. W. Zhao and Y. Cao, “New generation of predictive technology model for sub-45 nm early design exploration,” IEEE Trans. Electron Devices 53, 2816–2823 (2006). [CrossRef]  

149. J. C. Campbell, “Recent advances in telecommunications avalanche photodiodes,” J. Lightwave Technol. 25, 109–121 (2007). [CrossRef]  

150. A. Rochas, A. R. Pauchard, P. A. Besse, D. Pantic, Z. Prijic, and R. S. Popovic, “Low-noise silicon avalanche photodiodes fabricated in conventional CMOS technologies,” IEEE Trans. Electron Devices 49, 387–394 (2002). [CrossRef]  

151. A. R. Hawkins, W. Wu, P. Abraham, K. Streubel, and J. E. Bowers, “High gain-bandwidth-product silicon heterointerface photodetector,” Appl. Phys. Lett. 70, 303–305 (1997). [CrossRef]  

152. Y. Kang, Y. H. Lo, M. Bitter, S. Kristjansson, Z. Pan, and A. Pauchard, “InGaAs-on-Si single photon avalanche photodetectors,” Appl. Phys. Lett. 85, 1668–1670 (2004). [CrossRef]  

153. Y. Kang, H. Liu, M. Morse, M. J. Paniccia, M. Zadka, S. Litski, G. Sarid, A. Pauchard, Y. Kuo, H. Chen, W. S. Zaoui, J. E. Bowers, A. Beling, D. C. McIntosh, X. Zheng, and J. C. Campbell, “Monolithic germanium/silicon avalanche photodiodes with 340 GHz gain-bandwidth product,” Nat. Photonics 3, 59–63 (2009). [CrossRef]  

154. G. Masini, G. Capellini, J. Witzens, and C. Gunn, “A four-channel, 10 Gbps monolithic optical receiver in 130 nm CMOS with integrated Ge waveguide photodetector,” in National Fiber Optic Engineers Conference (Optical Society of America, 2007), paper PDP31.

155. J. Joo, S. Kim, I. G. Kim, K. Jang, and G. Kim, “High-sensitivity 10 Gbps Ge-on-Si photoreceiver operating at lambda approximately 1.55 microm,” Opt. Express 18, 16474–16479 (2010). [CrossRef]  

156. S. Sahni and G. Masini, “Ge photodiodes for CMOS photonics optical engines and interconnects,” ECS Trans. 50, 773–777 (2013).

157. T. Liow, N. Duan, A. E. Lim, X. Tu, M. Yu, and G. Lo, “Waveguide Ge/Si avalanche photodetector with a unique low-height-profile device structure,” in Optical Fiber Communications Conference and Exhibition (OFC) (IEEE, 2014), pp. 1–3.

158. L. Virot, P. Crozat, J. Fédéli, J. Hartmann, D. Marris-Morini, E. Cassan, F. Boeuf, and L. Vivien, “Germanium avalanche receiver for low power interconnects,” Nat. Commun. 5, 1–6 (2014). [CrossRef]  

159. S. Assefa, F. Xia, and Y. A. Vlasov, “Reinventing germanium avalanche photodetector for nanophotonic on-chip optical interconnects,” Nature 464, 80–84 (2010). [CrossRef]  

160. H. T. Chen, J. Verbist, P. Verheyen, P. De Heyn, G. Lepage, J. De Coster, P. Absil, X. Yin, J. Bauwelinck, J. Van Campenhout, and G. Roelkens, “High sensitivity 10 Gb/s Si photonic receiver based on a low-voltage waveguide-coupled Ge avalanche photodetector,” Opt. Express 23, 815–822 (2015). [CrossRef]  

Cited By

Optica participates in Crossref's Cited-By Linking service. Citing articles from Optica Publishing Group journals and other participating publishers are listed here.

Alert me when this article is cited.


Figures (19)

Fig. 1.
Fig. 1. Schematic of a general MZI (a) with four regions of arms, (b) with three regions of arms, while the A-region is equivalent to the combination of some N-regions and L-regions.
Fig. 2.
Fig. 2. (a) Static spectrums under different temperatures; eye diagrams of 10 Gb/s modulation for different temperatures at 1550 nm: (b) 25°C; (c) 35°C; (d) 45°C.
Fig. 3.
Fig. 3. Schematics of asymmetric athermal MZIs constructed with (a) different waveguide width; (b) different polarization; (c) effective TOC versus the core waveguide width.
Fig. 4.
Fig. 4. (a) Measured transmission athermal asymmetric MZI at three different temperatures. (b) Corresponding athermal property at different wavelength. Figures are taken from [18].
Fig. 5.
Fig. 5. (a) Schematic and (b) corresponding transmission spectra of two-stage cascaded MZIs.
Fig. 6.
Fig. 6. Schematic of a microring resonator.
Fig. 7.
Fig. 7. Construction of (a) asymmetric MZI coupled microring and (b) dual-ring structure.
Fig. 8.
Fig. 8. Schematic of negative TOC material cladding SOI waveguide in cross-section view.
Fig. 9.
Fig. 9. Schematics of (a) overcladded heater and (b) integrated heater. Figure is revised from [38].
Fig. 10.
Fig. 10. Calculated electric field distributions for the pump and the Raman laser mode, respectively, in which the cross components ( E x _ pump and E y _ Raman , E y _ pump and E x _ Raman ) have the same line symmetry and similar distribution. Color scale represents the intensity of the electric field. Figure is taken from [54].
Fig. 11.
Fig. 11. (a) Scanning electron microscope of the microbridge structure. (b) Net gain analysis of strained microbridge structure versus energy. Figures are reproduced from [77].
Fig. 12.
Fig. 12. Coupling loss dependence on waveguide tip width for two types SSC. Figures are taken from [83].
Fig. 13.
Fig. 13. (a) Schematic of fabricated on-Si LEAP lasers. Current blocking trenches were used with a trench width of 200 nm. (b) Light output and applied voltage versus injected current (L-I-V). Figures are taken from [101].
Fig. 14.
Fig. 14. Schematic of cross-section view of vertical junction and its electrical contacts in microdisks. Doping through ion implantation can eliminate the need for poly Si deposition.
Fig. 15.
Fig. 15. (a) Schematic of coupling modulator (not drawn to scale). Two directional couplers form a MZI in between, within which total coupling strength can be controlled via phase difference Δ ϕ . (b) Transmission characteristics of coupling modulator at different Δ ϕ . Loss is taken into account by a = 0.96 . Inset: Transmission at resonance wavelength as a function of self-coupling coefficient. It is clear that the lower the cavity loss, the less phase shift is needed for complete extinction.
Fig. 16.
Fig. 16. Schematic of slow light photonic crystal waveguide modulator. Figure is reproduced from [139].
Fig. 17.
Fig. 17. Schematic InGaAs-on-Si single photon APD structure. Figure is revised from [152].
Fig. 18.
Fig. 18. Schematic of the germanium/silicon APD. Figure is revised from [153].
Fig. 19.
Fig. 19. Schematic of the waveguide-integrated Ge/Si APD. The detector is biased though metallic interdigitated contacts consisting of W plugs and Cu wires. Figure is reproduced from [159].

Tables (9)

Tables Icon

Table 1. Representative Summary of Raman Si Laser Performance in Near-Infrared Wavelength Regiona

Tables Icon

Table 2. Si Laser Performances Based on SiO 2 : Er a

Tables Icon

Table 3. Si Laser Performances Based on Al 2 O 3 : Er a

Tables Icon

Table 4. Typical Characteristics of Three Integration Approaches of III–V-Based Si Laser

Tables Icon

Table 5. Representative Performance of III–V-Based Si Lasers Via Heterogeneous Integration in Different Cavitiesa

Tables Icon

Table 6. Summary of the Experimental Results of InAs/GaAs QD Lasers in Recent Yearsa

Tables Icon

Table 7. General Comparison of the Current Status for the Four Candidates in Terms of OWPEa

Tables Icon

Table 8. Overview of Energy Consumption and Loss Characteristics of Various Modulatorsa

Tables Icon

Table 9. Typical Experimental Results of Receivers Based on Ge Detectors in Recent Yearsa

Equations (12)

Equations on this page are rendered with MathJax. Learn more.

{ Tr = P out P in = cos 2 ( OLD λ · π ) OLD = L 1 n eff 1 ( T , λ , l ) d l L 2 n eff 2 ( T , λ , l ) d l ,
{ OLD ( T , λ ) = n eff N ( T , λ ) · Δ L N + Δ n eff L ( T , λ ) · L L n eff 1 N ( T , λ ) = n eff 2 N ( T , λ ) = n eff N ( T , λ ) Δ n eff L ( T , λ ) = n eff 1 L ( T , λ ) n eff 2 L ( T , λ ) Δ L N = L 1 N L 2 N ; L 1 L = L 2 L = L L .
OLD T = n eff N ( T , λ ) T · Δ L N + Δ n eff L ( T , λ ) T · L L .
n eff N T · Δ L N + Δ n eff L T · L L = 0 ,
O L = L n eff ( T , λ , l ) d l = m λ r ( m = 1,2 , ) ,
d λ r d T = λ r L n g ( T , λ , l ) d l · L n eff ( T , λ , l ) T d l ,
n eff T = Γ core n corn T + Γ clad n clad T + Γ sub n sub T ,
WPE = P laser V bias · I bias ,
OWPE = P laser V bias · I bias · η ,
OWPE = η pump _ E / O · η laser _ O / O · η ,
Δ ω Δ ε ε V p V o ,
P out P in = a 2 + cos 2 Δ ϕ 2 2 a | cos Δ ϕ 2 | cos θ 1 + a 2 cos 2 Δ ϕ 2 2 a | cos Δ ϕ 2 | cos θ ,
Select as filters


Select Topics Cancel
© Copyright 2024 | Optica Publishing Group. All rights reserved, including rights for text and data mining and training of artificial technologies or similar technologies.