Expand this Topic clickable element to expand a topic
Skip to content
Optica Publishing Group

Scalable direct printing of visible-light metasurfaces composed of an industrial ZrO2-composite imprint material

Open Access Open Access

Abstract

Optical metasurfaces offer a promising route to the replacement of traditional bulky optics with thinner and more functional ones, but their practical application has been challenging due to the lack of scalable and cost-effective manufacturing methods. One promising path to meeting this challenge could be single-step, direct printing of resin-based metasurfaces using well-established nanoimprint lithography (NIL). However, for its full-scale use, there are still practical issues such as fabrication accuracy as well as resin stability and mass-productivity. In this study, we address these issues by highly leveraging the recent advances in industrial nanoimprint resins. Here, a zirconium dioxide (ZrO2)-composite resin for industrial NIL is used for the direct printing of metasurfaces. We show that such a resin well meets the fundamental requirements for constructing dielectric metasurfaces, while affording practical advantages such as high formability, high resistance to long-term light exposure, and the feasibility of mass production. Our experimental demonstration validates that industrial resin is fully applicable for scalable metasurface manufacturing, which could be an important milestone toward the commercialization of metasurface optics.

© 2022 Optica Publishing Group under the terms of the Optica Open Access Publishing Agreement

1. Introduction

Optical metasurfaces, arrays of subwavelength-scale optical antennas, enable efficient light manipulation with high degrees of freedom by precisely designing the geometries of each antenna. This unique capability provides a promising scenario for replacing traditional bulky optical elements (e.g., refractive lenses) with thinner, lighter, and more functional ones [14]. Although early plasmonic metasurfaces suffered from low optical efficiencies [5], dielectric metasurfaces based on high-refractive-index materials [610] have shown optical efficiencies comparable to those of traditional optics [11], further boosting their development. Thus, they can serve as a unique and powerful foundation for current important applications, including imaging systems [1217], image sensors [1823], LiDARs [24], and displays [2527]. However, one major challenge remains for their commercialization, namely the development of a scalable, high-throughput, and cost-effective manufacturing method for metasurfaces.

Optical metasurfaces essentially rely on precisely designed subwavelength-scale optical antennas (meta-atoms). For efficient metasurfaces operating at optical wavelengths (such as visible-light wavelengths), the critical dimensions of meta-atoms can be as small as a few nanometers. Therefore, electron-beam lithography (EBL) with nanometer-scale precision is widely used to define metasurface patterns, but this choice suffers from low scalability and throughput. The use of nanoimprint lithography (NIL) is a promising way to overcome the EBL limitations. NIL is a scalable and simple fabrication technique in which a resist [e.g., ultraviolet (UV)-curable resin] is mechanically patterned using a prepatterned stamp (mold), and it has already been applied to the patterning of large-scale metasurfaces [26,28]. Although NIL still requires EBL for the fabrication of a master mold, the fabricated mold can be used to make many replica molds with a simple transfer method, which in turn can be used to fabricate hundreds of imprints; in other words, several hundred patterns can be replicated from the single master mold. Coupled with the fact that the pattern transfer process with NIL is orders of magnitude faster than EBL patterning (typically a few minutes per pattern transfer in NIL), NIL patterning can achieve overwhelming scalability and high throughput compared to the conventional method in which time-consuming EBL is always performed for each patterning. However, conventional NIL defines a mask pattern only and thereby needs secondary processes such as material deposition and etching/liftoff after resist pattering, which limits the overall manufacturing throughput, even if the pattern process using NIL has a high throughput. In addition, these secondary processes narrow the available substrate options. Recently, direct printing of metasurfaces has been demonstrated using NIL with an imprint resin with a high refractive index n [2931]. In this method, a titanium dioxide (TiO2)-composite resin is employed as an imprint and metasurface composition material and directly patterned through NIL. The patterned resin itself can work as a metasurface without any secondary processes, so the benefits of NIL's scalability and high throughput can be directly reflected in metasurface manufacturing. The achievable aspect ratio (AR) of the patterned nanostructures can reach 10 [30], which is comparable to that of dielectric meta-atoms used in recent metasurfaces. This method also has practical advantages, such as the applicability to a variety of substrates, including flexible substrates. However, this pioneering work still presents several issues such as resin degradation over time caused by photocatalytic TiO2 [32,33], high resin shrinkage during the curing process, and the difficulty in mass-producing lab-made resins. A recent approach introduced TiO2-based sol–gel imprint materials to solve the resin degradation issue [34]. However, sol–gel imprint materials generally have high viscosity, making it difficult to transfer high-AR nanostructures to them. They also have high shrinkage, so a challenge remains in transferring designed nanostructures with high accuracy.

Here, we explore an alternative pathway to single-step, direct printing of dielectric metasurfaces. To address the current issues, we employ a zirconium dioxide (ZrO2)-composite resin as a high-refractive-index imprint material. ZrO2 generally does not exhibit photocatalytic activity at visible and near-UV wavelengths due to its wide bandgap [35], so there is little degradation of the resin though photocatalysis under visible light illumination, including sunlight. This is in contrast to TiO2-based ones that can react with a resin matrix under illumination by blue- or near-UV-wavelength light. More importantly, the resin used in this work is commercially available for industrial nanoimprint use. Therefore, it solves several practical issues from the outset, including the stability of resin characteristics, resin shrinkage, and resin mass-productivity. To test the applicability of such an industrial resin to metasurface manufacturing, we first characterize it as a composition material for dielectric metasurfaces operating at visible wavelengths. The experimental characterizations show that the resin exhibits a high refractive index, low haze, low shrinkage, blue-light resistance, and high-AR nanostructure formability, meeting the fundamental requirements for constructing dielectric metasurfaces. The resin is then applied to the direct printing of metasurfaces. While reflecting the resin characteristics, we here design and fabricate a reflective metasurface-based polarization beam splitter (PBS) that deflects left-circularly polarized (LCP) and right-circularly polarized (RCP) beams in different directions (Fig. 1). The fabricated PBS exhibits high quality in terms of both fabrication accuracy and optical performance, demonstrating the feasibility of our approach for scalable metasurface manufacturing. Coupled with the use of the industrial resin, these results could be a fundamental step toward the commercialization of metasurfaces.

 figure: Fig. 1.

Fig. 1. Reflective metasurface composed of an industrial zirconium dioxide (ZrO2)-composite resin. The metasurface acts as a chirality-distinguishing polarization beam splitter (PBS) that deflects left-circularly polarized (LCP) and right-circularly polarized (RCP) beams in different directions. The resin is composed of ZrO2 nanoparticles and an ultraviolet (UV)-curable resin.

Download Full Size | PDF

2. Resin characterization

The high-refractive-index resin we used in this study is a commercially available nanoimprint resin (#18255, NTT Advanced Technology Corporation), which is composed of ZrO2 nanoparticles and a UV-curable resin. To begin with, the refractive index and haze (percent of diffuse scattered light) of the resin were characterized experimentally. For the characterizations, the resin was first coated using a bar coater on a silicon substrate for the refractive index measurement or on a borosilicate glass substrate for the haze measurement, and then cured by UV-light illumination (high-pressure mercury lamp, 100 mW/cm2 for 1 min). The cured resin was post-baked at 100°C for 1 h. The thickness of the resin film after curing is 1.1 µm. The refractive index measurement of the resin film was performed using a prism coupler (Metricon Corporation) at wavelengths λ of 403, 633, and 848 nm, and the measured data are fitted using the Cauchy dispersion model to retrieve the index at a specific wavelength (λ = 450 nm in this work). The haze measurement was performed using a standard haze meter (Murakami Color Research Laboratory). In addition, to evaluate the resistance of the resin film to light exposure, we illuminated the resin film with a high-power blue light (LED light source with a center wavelength of 470 nm) and again characterized the film. The illumination intensity, area, and time were 40 mW/cm2, 2 × 2 cm2, and 168 h (1 week), respectively.

Table 1 summarizes the measured refractive index and haze before and after the blue-light illumination. The n of ∼1.748 was obtained at λ = 450 nm before the blue-light illumination, which is much higher than that of typical resin materials. This value is lower than that of the TiO2-composite resin [2931] because the refractive index of ZrO2 is lower than that of TiO2. Although a higher refractive index is generally advantageous in metasurface design, the ZrO2-composite resin with n = ∼1.748 can adequately build dielectric metasurfaces, as discussed later. The haze measured at the same condition was <0.1%. This low haze indicates that the cured resin can act as a homogeneous effective material without undesired light scattering by the composite particles at the visible wavelengths. Importantly, these optical properties were almost unchanged after high-power, long-term blue-light illumination. The illumination resulted in a decrease in the refractive index, but it was only a minuscule change at the third decimal place. The difference in haze was within the measurement error range (<0.1%), and no cloudiness was observed in the illumination area. These results indicate that the ZrO2-composite resin is hardly denatured by illumination of short-wavelength, high-energy light, showing the advantage of the resin as a material for metasurfaces operating under visible light illumination.

Tables Icon

Table 1. Refractive index and haze of a ZrO2-composite resin before and after blue-light illumination

We also characterized the shrinkage rate of the resin through a UV-curing process. The characterization was performed by measuring the density of the resin before and after UV curing. The measured shrinkage was 6.4%, which is much lower than that of recently reported imprint materials for direct printing of visible-light metasurfaces (∼20%) [30,34]. This low-shrinkage feature simply reduces fabrication errors in nanoimprinting or facilitates incorporating such errors into the design.

Next, we explored the maximum structural AR achievable with the ZrO2-composite resin. To this end, we fabricated ZrO2-composite-resin nanostructures with various ARs using a standard NIL process and evaluated them using scanning electron microscopy (SEM). First, a master fused-silica mold that includes test nanostructure patterns was fabricated through standard metal deposition, EBL, and multiple etching processes. The target etching depth of fused silica (i.e., nanostructure height) was set to 750 nm. The master mold was used to fabricate a soft mold that is directly used for nanoimprinting [see the top row of Fig. 2(a)]. The soft mold is made of polydimethylsiloxane (PDMS) with high releasability and can be reused repeatedly in the imprint process. After the mold fabrication, the ZrO2-composite resin was spin-coated (3000 rpm for 60 s) on a light-reflective substrate (a substrate also used for metasurface fabrication as shown later) and covered with the soft mold. Through UV-light illumination with appropriate pressure, the resin was then solidified in the form of the master mold. After gentle releasing of the soft mold, the imprinted resin film finally appeared on the substrate [see the bottom row of Fig. 2(a)]. All the nanoimprint processes were performed with a UV-type nanoimprint tool (FLAN200, SCIVAX Corporation).

 figure: Fig. 2.

Fig. 2. Direct patterning of high-aspect-ratio (AR) nanostructures with a ZrO2-composite resin. (a) Fabrication schematic of ZrO2-composite-resin nanostructures through a nanoimprint lithography (NIL) process. (b), (c) Enlarged scanning electron microscopy (SEM) images of (b) a master mold and (c) the corresponding imprinted resin film. (d) Cross-sectional SEM images of resin nanoposts with various ARs.

Download Full Size | PDF

Figures 2(b) and (c) are enlarged SEM images of the master mold and the corresponding imprinted resin, respectively. The images clearly show that the mold's nanopost features are successfully transferred to the resin film with in-plane errors of less than 10 nm. Figure 2(d) shows cross-sectional SEM images of the resin nanoposts with various ARs. The images reveal that our process can reliably form nanoposts with widths as small as ∼81 nm and ARs up to ∼10.46. The height of the nanoposts is slightly higher than the target one (750 nm), which may be due to the master mold having a deeper pattern than expected due to over-etching. In the metasurface fabrication shown later, this difference is reduced by improving the process conditions. It is important to note that dielectric nanoposts with an AR of up to ∼10 and refractive index of ∼1.748 can serve well as meta-atoms for dielectric metasurfaces. For example, numerical simulations show that meta-atom libraries consisting of such nanoposts can cover a full phase change of 0-2π for transmitted light at λ = 450 nm (see Figs. S1 and S2 of Supplement 1). This indicates that transmissive metasurfaces for efficient wavefront manipulation (e.g., metalenses) could be created with our approach. Similarly, reflective metasurfaces can be built under the same fabrication restrictions. In this work, we focus on the fabrication of such reflective metasurfaces as the experimental proof-of-concept demonstration.

3. Metasurface design and fabrication

To experimentally test the applicability of our approach, we designed and fabricated reflective dielectric metasurfaces that can act as a PBS. Here, an anisotropic rectangular nanopost placed on a reflective substrate were used as a reflective meta-atom [Fig. 3(a)]. Rotation of such a nanopost can induce the geometric phase of cross-polarized light, and this principle, known as the Pancharatnam–Berry (P–B) phase method [7,8], was used for the fundamental design of our metasurfaces. The best performance of P–B phase metasurfaces is achieved with meta-atoms that perfectly convert an incident LCP or RCP beam to a beam with an opposite-handedness. To find such meta-atoms, we simulated the circular-polarization conversion efficiency of the ZrO2-composite-resin nanopost at the reflection side while sweeping its widths wx and wy. A rigorous coupled wave analysis (RCWA) was used to simulate the efficiency. The nanopost height and period were set to 750 and 350 nm, respectively, and the measured n was used in the simulation. As a reflective substrate, a 100-nm-thick-aluminum-coated fused-silica substrate was used. For better adhesion to the resin in the fabrication, a 20-nm-thick silica (SiO2) layer was additionally placed on the aluminum layer. Figure 3(b) shows a simulated map quantifying the circular-polarization conversion efficiency at λ = 450 nm as a function of both nanopost widths wx and wy. From the red regions indicating high efficiencies in the map, we chose the optimal geometry with wx = 260 nm and wy = 140 nm (the black dot in the map) that are within the fabrication restrictions (AR < ∼10, wx, y > ∼80 nm). Although our study focuses on the operation at λ = 450 nm, we also found optimal nanoposts for operation at longer wavelengths corresponding to green and red light within the same fabrication restrictions (see Fig. S3 of Supplement 1).

 figure: Fig. 3.

Fig. 3. Design of a ZrO2-composite-resin metasurface with a chirality-distinguishing PBS function. (a) Schematics of a ZrO2-composite-resin nanopost with an anisotropic rectangular cross section and varying nanopost widths wx and wy. The nanopost is placed on a reflective substrate. The nanopost height and period are 750 and 350 nm, respectively. (b) Circular-polarization conversion efficiency as functions of nanopost widths wx and wy at a wavelength λ = 450 nm. A black dot indicates the optimal geometry with wx = 260 nm and wy = 140 nm. (c) Illustration of the function of a reflective chirality-distinguishing PBS. (d) Schematic of nanopost pattern of a designed PBS.

Download Full Size | PDF

Using the optimal nanoposts for λ = 450 nm, the reflective PBSs were designed to mimic a conventional blazed grating for LCP (or RCP) incident light. The desired phase profile is a 2π increase in phase across one grating period, simply described as φ(x) = 2πx/P, where P is the grating period and x is the coordinate along the grating-period direction. The phase profile can be achieved by tiling a substrate with the nanoposts with spatial-variant rotations θ(x) while following the principle of a geometrical phase equal to ±2θ(x) where the sign is determined by the incident circular polarization state [79]. Therefore, the nanoposts that successively rotate along the grating-period direction can be a blazed grating to deflect LCP and RCP incident beams in opposite directions [79], acting as a chirality-distinguishing PBS [Fig. 3(c)]. A schematic of the pattern of our designed PBS operating at λ = 450 nm is shown in Fig. 3(d). It consists of unit cells that are each 4.2 µm × 0.35 µm. Each unit cell comprises twelve nanoposts (350 nm period) that gradually rotate by 15 degrees along the grating-period direction.

The PBS was fabricated by using an NIL process on the ZrO2-composite resin. The process was the same as that used for the resin characterizations, although there were minor improvements in process conditions. Figure 4(a) shows the five fabricated PBSs on the substrate. Each pattern is 1 × 1 mm2. Figure 4(b) shows SEM images of the PBS, and Fig. 4(c) is a cross-sectional SEM image of the constituent nanoposts. The fabrication accuracy was evaluated from these images, as summarized in Table 2. As shown, the nanopost height error is +∼18 nm and the in-plane errors are less than 10 nm, showing the high fabrication accuracy of our process. The presence of a residual resin layer (∼238 nm), which was not taken into account in the PBS design, is also observed, implying that there is still room for improving the resin coating and mold pressing. The impact of this residual layer on the optical performance is discussed in the next section.

 figure: Fig. 4.

Fig. 4. Quality of metasurface fabrication. (a) Image of five 1-mm-square PBSs printed on a reflective substrate. The colored squares represent the PBSs. (b) SEM image of the printed PBS. The inset shows a zoomed-in SEM image of the area indicated by the dotted rectangle. (c) Cross-sectional SEM image of constituent nanoposts.

Download Full Size | PDF

Tables Icon

Table 2. Difference in designed and fabricated metasurface geometries.

4. Optical characterization

The PBS was characterized by using a back focal plane imaging setup that measures the far-field diffraction patterns in a wavenumber space. Figure 5(a) shows a schematic diagram of the setup for back focal plane imaging. The incident light was obtained from a halogen lamp coupled with a bandpass filter (λ = 450 ± 5 nm). After the light had passed through an aperture diaphragm set to the minimum, its polarization state was manipulated through a linear polarizer (rotatable) and λ/4 waveplate (the fast axis was fixed in the direction corresponding to the axis orthogonal to the grating-period direction on the PBS). A 10× objective lens with a numerical aperture of 0.3 was used for the light illumination on the PBS as well as for imaging the light reflected by the PBS. The microscope was used to create wavenumber-space (i.e., Fourier transformed) images from the PBS with the help of a Bertrand lens. The images were recorded by a monochrome CMOS image sensor with a linear response.

 figure: Fig. 5.

Fig. 5. Metasurface characterization. (a) Schematic of optical setup for back focal plane imaging. (b) Measured far-field patterns in a wavenumber space for LCP, LP, and RCP polarization states at λ = 450 nm. The observed hexagon patterns represent the shape of the aperture diaphragm. (c) Measured diffraction efficiencies of -1st, 0th, and +1st diffraction orders for various polarization states. The horizontal axis in the graphs is the angle of a linear polarizer to the fast axis of a λ/4 waveplate. The simulated efficiencies assuming the ideal PBS (without fabrication errors) and the fabricated PBS (with all fabrication errors) are also shown in the same graphs.

Download Full Size | PDF

Figure 5(b) summarizes the measured far-field patterns for each polarization state at λ = 450 nm. The observed hexagon patterns represent the shape of the aperture diaphragm. As shown, the deflection direction varies significantly depending on the polarization state. The LCP (RCP) light is strongly directed toward the +1st order (-1st order), while the linearly polarized (LP) light, consisting of equal amounts of LCP and RCP light, is directed toward both the 1st orders. A fraction of the light goes straight through for all the polarization states, which would be due to the fact that the circular-polarization conversion efficiency is not unity as predicted from the design [see Fig. 3(b)]. The diffraction efficiency of each diffraction order was evaluated from the far-field patterns measured at various polarization states, as summarized in Fig. 5(c). Here, the diffraction efficiency is defined as the diffraction light power normalized by the incident light power. The horizontal axis in the graphs is the angle of the linear polarizer to the fast axis of the λ/4 waveplate [both placed in front of the halogen lamp, see Fig. 5(a)]; thus, the +45 (-45) degrees corresponds to the LCP (RCP) light, and the 0 and 90 degrees correspond to the LP light. The graphs clearly show that the +1st and -1st orders exhibit peaks at angles corresponding to the LCP and RCP light states, respectively, demonstrating the beam splitting functionality for circular polarizations. The same graphs also include RCWA simulation results assuming the ideal PBS (without any fabrication errors) and the fabricated PBS (with all the fabrication errors shown in Table 2). The experimental results are generally similar to both the simulation results and, in particular, show better agreement with those assuming the fabricated PBS. Slight deviations along the horizontal axis would mainly be due to measurement errors (e.g., misalignment of polarization optics).

To gain further insights, we investigated the impact of each fabrication error on the optical performance. Here, to separate the effects of the nanopost geometry errors and the presence of the residual layer, two additional simulations were performed: one with the fabricated nanoposts (height 768 nm, widths 145 and 254 nm) and no residual layer, and the other with the nanoposts as designed and a residual layer of 238 nm. The polarizer-angle dependence of each diffraction light for each situation is summarized in Fig. 6(a), along with the simulated results for the ideal PBS (without any fabrication errors). As shown, the results assuming only the nanopost geometry errors show a trend similar to the ideal ones, while the absolute peak efficiencies of all the orders are increased. Similarly, the results assuming only the presence of the residual layer show an increase in diffraction efficiency.

 figure: Fig. 6.

Fig. 6. Impact of fabrication errors. (a) Simulated diffraction efficiencies of -1st, 0th, and +1st diffraction orders for various polarization states for PBSs with each fabrication error. (b) Simulated residual-layer-thickness dependence of the diffraction efficiencies for -1st, 0th, and +1st diffraction orders. The nanopost geometry parameters were set to the ideal ones in this simulation.

Download Full Size | PDF

The impact of these two fabrication errors can be roughly understood as follows. The nanopost geometry errors mainly change the phase delay between orthogonal polarizations, affecting the circular-polarization conversion efficiency and thus the overall performance. The geometry errors will also modulate the light reflectance for both polarizations, which affects the circular-polarization conversion efficiency. Importantly, the overall performance of P–B phase metasurfaces may not be determined by circular-polarization conversion efficiency alone. Other potential factors, such as optical coupling between neighboring meta-atoms and discrete sampling of a desired phase profile, could also be involved in determining the performance. Thus, for example, changes in the strength of optical coupling between adjacent nanoposts due to the geometry errors (especially width errors) can also be a source of the performance deviation. We believe that the combination of multiple factors such as those mentioned above can make some difference in the performance even with small geometry errors.

To clarify the tolerance of these geometry errors, we performed additional simulations of the dependence of PBS performance on each geometry error [see Fig. S4 of Supplement 1]. The results show that the efficiency remains within a 20% change from the designed one if width error Δwx is within -9 nm < Δwx < +2 nm or Δwy is -7 nm < Δwy < +3 nm. Similarly, the efficiency remains within the 20% change if height error Δh is within -18 nm < Δh < +6 nm. It is also evident that the width errors affect the performance more significantly. Therefore, making the master mold in-plane geometry closer to the designed one is critical for ensuring the designed performance, which could be achieved by further tailoring the well-established mold fabrication process. It would also be worthwhile to reflect the resin-shrinkage effect more closely in the mold design. It is important to note that the metasurface designed here is reflective one, so the net optical path length given to light by the meta-atoms is equivalent to twice the height of the nanopost. Therefore, the impact of nanopost geometry errors on performance will be more pronounced than that in transmissive metasurfaces. Furthermore, the short operating wavelength of 450 nm makes metasurfaces more sensitive to geometry errors than they would be at longer wavelengths, such as green- and red-light wavelengths. Therefore, for transmissive metasurfaces as well as metasurfaces operating at longer wavelengths, the tolerance to geometry errors will be higher than that shown here.

The presence of the residual layer causes light interference between the nanoposts and reflective layer, which increases or decreases the light reflection depending on the residual layer thicknesses. This interference effect is well visualized in an additional simulation shown in Fig. 6(b); when the thickness of the residual layer is continuously varied, the diffraction efficiency periodically rises and falls. Therefore, in addition to improving the NIL process, redesigning the nanoposts while positively including the fabrication artifacts (such as a residual layer) in the design will further improve the metasurface performance, which shows an additional direction for future trials.

In addition to the impact of fabrication errors, we investigated the broadband properties of the PBS by numerical simulation (see Fig. S5 of Supplement 1). The results show that the PBS functionality is retained over the entire visible-light band, although the diffraction efficiency varies depending on the wavelength. This is consistent with the well-known feature of P–B phase metasurfaces, where the phase profile experienced by circularly polarized light is wavelength-independent (only the efficiency changes with wavelength) [8].

5. Discussion and conclusion

Table 3 summarizes the features of recently reported imprint materials used for direct printing of visible-light metasurfaces. The ZrO2-composite resin used in this study exhibits a lower refractive index (n = ∼1.748) than others, but nonetheless has practical advantages, including low haze (<0.1%), low shrinkage (6.4%), resistance to blue-light exposure, and high-AR nanostructure formability (AR = ∼10), making it one promising option. Although we here demonstrated the fabrication of reflective metasurfaces for λ = 450 nm using the resin, it could be fully applicable to the fabrication of transmissive metasurfaces (see Figs. S1 and S2 of Supplement 1) as well as metasurfaces operating at longer wavelengths, such as green and red light (see Fig. S3 of Supplement 1). Further improvement in resin formability (e.g., achievable AR and feature size) would also allow direct patterning of more advanced metasurfaces, such as dispersion-engineered metasurfaces [14,23,27] and inverse-designed metasurfaces [36] in which more complicated nanostructures are used. The use of larger master molds will also allow larger-scale fabrication beyond the 1 × 1 mm2 size demonstrated here. Such a large-scale master mold could be fabricated using modern EBL. Once the mold is made for direct patterning of metasurfaces, there is great potential for high-throughput mass replication of metasurface optics comparable in size to traditional optics (e.g., camera lenses). More importantly, the resin used in this study is commercially available for industrial use and can be mass-produced with stable characteristics, whereas the previously reported ones for metasurfaces were manually prepared in laboratories. Our demonstration verified that such an industrial resin is fully applicable for direct patterning of metasurfaces, which will be an important step toward the commercialization of metasurface optics.

Tables Icon

Table 3. Features of imprint materials used for direct patterning of visible-light metasurfaces.

In conclusion, we proposed the use of an industrial ZrO2-composite resin for single-step, scalable manufacturing of dielectric metasurfaces and demonstrated its feasibility through resin characterizations as well as metasurface fabrication and evaluations. The ZrO2-composite resin well meets the fundamental requirements for a metasurface composition material, while providing practical advantages, including low shrinkage, resistance to light exposure, and the feasibility of mass production. This could pave the way toward scalable, high-throughput, and cost-effective fabrication of metasurface optics, offering real opportunities for their practical application. In recent years, the development of high-refractive-index resins for industrial NIL has been active for applications such as augmented/virtual reality devices [37,38], so we believe that this trend will further drive the research direction presented in this study.

Acknowledgments

We thank Naru Nemoto of NTT Device Technology Laboratories for helpful discussions.

Disclosures

NTT Advanced Technology Corporation, the manufacturer of the resin used in this study, is a group company of NTT Corporation (the authors’ employer). The authors declare no other competing interests.

Data availability

The data that support the findings of this study are available from the corresponding author upon reasonable request.

Supplemental document

See Supplement 1 for supporting content.

References

1. N. I. Zheludev and Y. S. Kivshar, “From metamaterials to metadevices,” Nat. Mater. 11(11), 917–924 (2012). [CrossRef]  

2. N. Yu and F. Capasso, “Flat optics with designer metasurfaces,” Nat. Mater. 13(2), 139–150 (2014). [CrossRef]  

3. A. I. Kuznetsov, A. E. Miroshnichenko, M. L. Brongersma, Y. S. Kivshar, and B. Luk’yanchuk, “Optically resonant dielectric nanostructures,” Science 354(6314), aag2472 (2016). [CrossRef]  

4. S. M. Kamali, E. Arbabi, A. Arbabi, and A. Faraon, “A review of dielectric optical metasurfaces for wavefront control,” Nanophotonics 7(6), 1041–1068 (2018). [CrossRef]  

5. F. Aieta, P. Genevet, M. A. Kats, N. Yu, R. Blanchard, Z. Gaburro, and F. Capasso, “Aberration-free ultrathin flat lenses and axicons at telecom wavelengths based on plasmonic metasurfaces,” Nano Lett. 12(9), 4932–4936 (2012). [CrossRef]  

6. P. Lalanne, S. Astilean, P. Chavel, E. Cambril, and H. Launois, “Design and fabrication of blazed binary diffractive elements with sampling periods smaller than the structural cutoff,” J. Opt. Soc. Am. A 16(5), 1143–1156 (1999). [CrossRef]  

7. Z. Bomzon, G. Biener, V. Kleiner, and E. Hasman, “Space-variant Pancharatnam−Berry phase optical elements with computer-generated subwavelength gratings,” Opt. Lett. 27(13), 1141–1143 (2002). [CrossRef]  

8. D. Lin, P. Fan, E. Hasman, and M. L. Brongersma, “Dielectric gradient metasurface optical elements,” Science 345(6194), 298–302 (2014). [CrossRef]  

9. M. Khorasaninejad and K. B. Crozier, “Silicon nanofin grating as a miniature chirality-distinguishing beam-splitter,” Nat. Commun. 5(1), 5386 (2014). [CrossRef]  

10. A. Arbabi, Y. Horie, A. J. Ball, M. Bagheri, and A. Faraon, “Subwavelength-thick lenses with high numerical apertures and large efficiency based on high-contrast transmitarrays,” Nat. Commun. 6(1), 7069 (2015). [CrossRef]  

11. W. T. Chen and F. Capasso, “Will flat optics appear in everyday life anytime soon?” Appl. Phys. Lett. 118(10), 100503 (2021). [CrossRef]  

12. A. Arbabi, E. Arbabi, S. M. Kamali, Y. Horie, S. Han, and A. Faraon, “Miniature optical planar camera based on a wide-angle metasurface doublet corrected for monochromatic aberrations,” Nat. Commun. 7(1), 13682 (2016). [CrossRef]  

13. N. A. Rubin, G. D’Aversa, P. Chevalier, Z. Shi, W. T. Chen, and F. Capasso, “Matrix Fourier optics enables a compact full-Stokes polarization camera,” Science 365(6448), eaax1839 (2019). [CrossRef]  

14. R. J. Lin, V. Su, S. Wang, M. K. Chen, T. L. Chung, Y. H. Chen, H. Y. Kuo, J. Chen, J. Chen, Y. Huang, J. Wang, C. H. Chu, P. C. Wu, T. Li, Z. Wang, S. Zhu, and D. P. Tsai, “Achromatic metalens array for full-colour light-field imaging,” Nat. Nanotechnol. 14(3), 227–231 (2019). [CrossRef]  

15. Q. Guo, Z. Shi, Y. W. Huang, E. Alexander, C. W. Qiu, F. Capasso, and T. Zickler, “Compact single-shot metalens depth sensors inspired by eyes of jumping spiders,” Proc. Natl. Acad. Sci. USA116(46), 22959–22965 (2019). [CrossRef]  

16. M. Miyata, M. Nakajima, and T. Hashimoto, “Compound-eye metasurface optics enabling a high-sensitivity, ultra-thin polarization camera,” Opt. Express 28(7), 9996–10014 (2020). [CrossRef]  

17. E. Tseng, S. Colburn, J. Whitehead, L. Huang, S. H. Baek, A. Majumdar, and F. Heide, “Neural nano-optics for high-quality thin lens imaging,” Nat. Commun. 12(1), 6493 (2021). [CrossRef]  

18. S. Yokogawa, S. P. Burgos, and H. A. Atwater, “Plasmonic color filters for CMOS image sensor applications,” Nano Lett. 12(8), 4349–4354 (2012). [CrossRef]  

19. E. Arbabi, S. M. Kamali, A. Arbabi, and A. Faraon, “Full-Stokes imaging polarimetry using dielectric metasurfaces,” ACS Photonics 5(8), 3132–3140 (2018). [CrossRef]  

20. M. Miyata, M. Nakajima, and T. Hashimoto, “High-sensitivity color imaging using pixel-scale color splitters based on dielectric metasurfaces,” ACS Photonics 6(6), 1442–1450 (2019). [CrossRef]  

21. P. Camayd-Muñoz, C. Ballew, G. Roberts, and A. Faraon, “Multifunctional volumetric meta-optics for color and polarization image sensors,” Optica 7(4), 280–283 (2020). [CrossRef]  

22. S. Uenoyama and R. Ota, “40× 40 metalens array for improved silicon photomultiplier performance,” ACS Photonics 8(6), 1548–1555 (2021). [CrossRef]  

23. M. Miyata, N. Nemoto, K. Shikama, F. Kobayashi, and T. Hashimoto, “Full-color-sorting metalenses for high-sensitivity image sensors,” Optica 8(12), 1596–1604 (2021). [CrossRef]  

24. J. Park, B. G. Jeong, S. I. Kim, D. Lee, J. Kim, C. Shin, C. B. Lee, T. Otsuka, J. Kyoung, S. Kim, K. Y. Yang, Y. Y. Park, J. Lee, I. Hwang, J. Jang, S. H. Song, M. L. Brongersma, K. Ha, S. W. Hwang, H. Choo, and B. L. Choi, “All-solid-state spatial light modulator with independent phase and amplitude control for three-dimensional LiDAR applications,” Nat. Nanotechnol. 16(1), 69–76 (2021). [CrossRef]  

25. W. J. Joo, J. Kyoung, M. Esfandyarpour, S. H. Lee, H. Koo, S. Song, J. C. Bae, J. Ara, M. Kwon, S. H. Han, S. Hwang, and M. L. Brongersma, “Metasurface-driven OLED displays beyond 10,000 pixels per inch,” Science 370(6515), 459–463 (2020). [CrossRef]  

26. G. Y. Lee, J. Y. Hong, S. Hwang, S. Moon, H. Kang, S. Jeon, H. Kim, J. H. Jeong, and B. Lee, “Metasurface eyepiece for augmented reality,” Nat. Commun. 9(1), 4562 (2018). [CrossRef]  

27. Z. Li, P. Lin, Y. W. Huang, J. S. Park, W. T. Chen, Z. Shi, C. W. Qiu, J. X. Cheng, and F. Capasso, “Meta-optics achieves RGB-achromatic focusing for virtual reality,” Sci. Adv. 7(5), eabe4458 (2021). [CrossRef]  

28. W. Wu, Z. Yu, S. Wang, R. S. Williams, Y. Liu, C. Sun, X. Zhang, E. Kim, Y. R. Shen, and N. X. Fang, “Midinfrared metamaterials fabricated by nanoimprint lithography,” Appl. Phys. Lett. 90(6), 063107 (2007). [CrossRef]  

29. K. Kim, G. Yoon, S. Baek, J. Rho, and H. Lee, “Facile nanocasting of dielectric metasurfaces with sub-100 nm resolution,” ACS Appl. Mater. Interfaces 11(29), 26109–26115 (2019). [CrossRef]  

30. G. Yoon, K. Kim, D. Huh, H. Lee, and J. Rho, “Single-step manufacturing of hierarchical dielectric metalens in the visible,” Nat. Commun. 11(1), 2268 (2020). [CrossRef]  

31. W. Kim, G. Yoon, J. Kim, H. Jeong, Y. Kim, H. Choi, T. Badloe, J. Rho, and H. Lee, “Thermally-curable nanocomposite printing for the scalable manufacturing of dielectric metasurfaces,” Microsyst. Nanoeng. 8(1), 73 (2022). [CrossRef]  

32. R. W. Matthews, “Photooxidation of organic impurities in water using thin films of titanium dioxide,” J. Phys. Chem. 91(12), 3328–3333 (1987). [CrossRef]  

33. A. E. Regazzoni, P. Mandelbaum, M. Matsuyoshi, S. Schiller, S. A. Bilmes, and M. A. Blesa, “Adsorption and photooxidation of salicylic acid on titanium dioxide: a surface complexation description,” Langmuir 14(4), 868–874 (1998). [CrossRef]  

34. V. J. Einck, M. Torfeh, A. McClung, D. E. Jung, M. Mansouree, A. Arbabi, and J. J. Watkins, “Scalable nanoimprint lithography process for manufacturing visible metasurfaces composed of high aspect ratio TiO2 meta-atoms,” ACS Photonics 8(8), 2400–2409 (2021). [CrossRef]  

35. N. S. Hassan and A. A. Jalil, “A review on self-modification of zirconium dioxide nanocatalysts with enhanced visible-light-driven photodegradation of organic pollutants,” J. Hazard. Mater. 423, 126996 (2022). [CrossRef]  

36. D. Sell, J. Yang, S. Doshay, R. Yang, and J. A. Fan, “Large-angle, multifunctional metagratings based on freeform multimode geometries,” Nano Lett. 17(6), 3752–3757 (2017). [CrossRef]  

37. Y. Kawajiri, “High and low refractive index resins for AR VR applications,” Proc. SPIE 11764, 117640O (2021). [CrossRef]  

38. G. McClintock, N. J. Tadros, R. Amirmoshiri, P. Guschl, and Z. S. G. Williams, “Ultra-high-refractive index nanocomposites for extended reality,” Proc. SPIE 11931, 119310O (2022). [CrossRef]  

Supplementary Material (1)

NameDescription
Supplement 1       Supplemental Document for Scalable direct printing of visible-light metasurfaces composed of an industrial ZrO2-composite imprint material

Data availability

The data that support the findings of this study are available from the corresponding author upon reasonable request.

Cited By

Optica participates in Crossref's Cited-By Linking service. Citing articles from Optica Publishing Group journals and other participating publishers are listed here.

Alert me when this article is cited.


Figures (6)

Fig. 1.
Fig. 1. Reflective metasurface composed of an industrial zirconium dioxide (ZrO2)-composite resin. The metasurface acts as a chirality-distinguishing polarization beam splitter (PBS) that deflects left-circularly polarized (LCP) and right-circularly polarized (RCP) beams in different directions. The resin is composed of ZrO2 nanoparticles and an ultraviolet (UV)-curable resin.
Fig. 2.
Fig. 2. Direct patterning of high-aspect-ratio (AR) nanostructures with a ZrO2-composite resin. (a) Fabrication schematic of ZrO2-composite-resin nanostructures through a nanoimprint lithography (NIL) process. (b), (c) Enlarged scanning electron microscopy (SEM) images of (b) a master mold and (c) the corresponding imprinted resin film. (d) Cross-sectional SEM images of resin nanoposts with various ARs.
Fig. 3.
Fig. 3. Design of a ZrO2-composite-resin metasurface with a chirality-distinguishing PBS function. (a) Schematics of a ZrO2-composite-resin nanopost with an anisotropic rectangular cross section and varying nanopost widths wx and wy. The nanopost is placed on a reflective substrate. The nanopost height and period are 750 and 350 nm, respectively. (b) Circular-polarization conversion efficiency as functions of nanopost widths wx and wy at a wavelength λ = 450 nm. A black dot indicates the optimal geometry with wx = 260 nm and wy = 140 nm. (c) Illustration of the function of a reflective chirality-distinguishing PBS. (d) Schematic of nanopost pattern of a designed PBS.
Fig. 4.
Fig. 4. Quality of metasurface fabrication. (a) Image of five 1-mm-square PBSs printed on a reflective substrate. The colored squares represent the PBSs. (b) SEM image of the printed PBS. The inset shows a zoomed-in SEM image of the area indicated by the dotted rectangle. (c) Cross-sectional SEM image of constituent nanoposts.
Fig. 5.
Fig. 5. Metasurface characterization. (a) Schematic of optical setup for back focal plane imaging. (b) Measured far-field patterns in a wavenumber space for LCP, LP, and RCP polarization states at λ = 450 nm. The observed hexagon patterns represent the shape of the aperture diaphragm. (c) Measured diffraction efficiencies of -1st, 0th, and +1st diffraction orders for various polarization states. The horizontal axis in the graphs is the angle of a linear polarizer to the fast axis of a λ/4 waveplate. The simulated efficiencies assuming the ideal PBS (without fabrication errors) and the fabricated PBS (with all fabrication errors) are also shown in the same graphs.
Fig. 6.
Fig. 6. Impact of fabrication errors. (a) Simulated diffraction efficiencies of -1st, 0th, and +1st diffraction orders for various polarization states for PBSs with each fabrication error. (b) Simulated residual-layer-thickness dependence of the diffraction efficiencies for -1st, 0th, and +1st diffraction orders. The nanopost geometry parameters were set to the ideal ones in this simulation.

Tables (3)

Tables Icon

Table 1. Refractive index and haze of a ZrO2-composite resin before and after blue-light illumination

Tables Icon

Table 2. Difference in designed and fabricated metasurface geometries.

Tables Icon

Table 3. Features of imprint materials used for direct patterning of visible-light metasurfaces.

Select as filters


Select Topics Cancel
© Copyright 2024 | Optica Publishing Group. All rights reserved, including rights for text and data mining and training of artificial technologies or similar technologies.