Expand this Topic clickable element to expand a topic
Skip to content
Optica Publishing Group

Epitaxial growth of InAs/GaAs quantum dots on {113}-faceted Ge/Si (001) hollow substrate

Open Access Open Access

Abstract

The direct epitaxial growth of GaAs on Si suffers from their nature of lattice mismatch, thermal mismatch and polarity difference induced anti-phase domains (APDs). Here, we report the high quality and thin GaAs film grown on {113}-faceted Ge/Si (001) hollow substrate by in-situ hybrid molecular beam epitaxy. By directly growth of Ge on U-shape patterned Si (001), a strain-relaxed high-quality Ge sawtooth hollow structure with {113} facets was obtained. With an additional 400 nm GaAs deposition, an APD-free surface with a root-mean-square roughness of merely 0.67 nm is obtained on such Ge {113} /Si (001) substrate. The lattice mismatch dislocation between Ge and Si is found to terminate mostly at the sidewalls of the hollow structures. The {113}-faceted Ge surface is acting as an equivalent to the miscut substrate, which annihilates the APDs at the GaAs/Ge interface. High-resolution X-ray diffraction characterization reveals that the hollow structures can effectively reduce the thermal strain, leading to a crack-free GaAs film up to 7 µm. Five-layer InAs/GaAs quantum dots (QDs) on such virtual GaAs/Ge {113} /Si (001) substrate without any dislocation filter layers exhibits almost the same photoluminescence (PL) intensity as that on the GaAs substrate, providing a promising method for integrating III-V QD lasers with silicon photonic platform.

© 2020 Optical Society of America under the terms of the OSA Open Access Publishing Agreement

1. Introduction

Silicon photonic is a fast developing field for achieving low cost CMOS-compatible photonic integrated circuits (PICs), while most of silicon photonic components have been individually demonstrated over the past decades [13]. Although significant efforts have been made to develop silicon lasers [4,5], silicon itself remains non-ideal material for high performance telecommunication lasers due to its indirect bandgap nature. Highly efficient and robust on-chip laser source must be achieved by incorporating direct-bandgap III-V compound semiconductor. Currently, the integration of high quality III-V materials on Si has two major technical approaches, wafer bonding [68] and direct epitaxial growth [911]. The wafer bonding technology has been recently commercialized for short-reach data center transceivers [12]. However, large scale integrations remain challenging due to the complexity of bonding process compared with monolithic integration, which also significantly increase the fabrication cost. The epitaxial growth of III-V materials on Si is considered to be one of the most promising methods to achieve large-scale photonic integration if III-V/Si film quality issue can be comprehensively addressed.

For direct growth of III-V materials on Si, there are three major challenges, including lattice mismatch, polarity difference, and thermal expansion mismatch between III-V and IV system. The large lattice mismatch between GaAs and Si is 4% (7.5% for InP/Si), inducing high density of threading dislocations (TDs) and stacking faults (SFs). These defects act as non-radiative recombination and carrier scattering centers. The polar difference generates anti-phase domains (APDs) and degrades the electronic properties. The thermal mismatch between III-V and Si will lead to micro-thermal cracks during the cool down process or the high temperature annealing process during the growth [13].

In the past few years, several successful attempts were made to avoid APDs and TDs such as using special offcut substrate [14,15], V-grooved Si [16], quantum-well dislocation filter structures [17], Ge/GeSi buffer layer [15] and thermal annealing [18]. However, all of the approaches require either special substrate conditions or complicated III-V buffer structures. In addition, limited studies focus on reducing thermal mismatch induced micro-cracks and III-V buffer thickness. Recently, we have demonstrated O-band and C-band InAs/GaAs QD structures and lasers on Si (001) substrate with {111}-faceted sawtooth hollow structures by in-situ hybrid epitaxy via III-V/IV dual-chamber Molecular Beam Epitaxy (MBE) [19,20]. The APDs and TDs were effectively annihilated and eliminated respectively by homoepitaxially formed {111}-faceted Si hollow structures. Importantly, the hollow structures can effectively reduce the thermal strain between GaAs and Si. In this work, in order to simplify the buffer structures, we implement similar techniques as described above to form {113}-faceted Ge sawtooth hollow structure on patterned Si (001) substrate. The Ge-Si mismatch dislocations are found to terminate mostly at the sidewalls of the hollow structures, leading to a high-quality Ge layer. While the {113}-faceted Ge surface functions as a miscut substrate, which annihilates the APDs at the GaAs/Ge interface and results in an APD-free growth of GaAs layer. Since there is almost no lattice mismatch between GaAs and Ge, with 400 nm GaAs deposition, an APD-free and low defect density GaAs layer with a surface roughness (root-mean-square, RMS) of merely 0.67 nm is obtained on such Ge {113} /Si (001) virtual substrate. With subsequent deposition of five layers InAs/GaAs QDs, almost the same photoluminesence (PL) intensity as those InAs/GaAs QDs grown on normal GaAs substrate is obtained. The dislocation filter layers are used to further reduce the threading dislocations density to ∼106/cm2. Furthermore, high resolution X-ray diffraction (HRXRD) reveals that the hollow structures can effectively reduce the thermal strain, leading to a crack-free GaAs film up to 7 µm.

2. Template fabrication and material growth

The U-shape patterned grating structures were fabricated on an 8-inch on-axis Si (001) substrate by deep ultra-violet (DUV) lithography and reactive ion etching (RIE). The period of the U-shape pattern is 360 nm with a ridge width of 140 nm and a depth of 500 nm, which is aligned towards [110] orientation. The 8-inch wafers were cleaved into 32 mm × 32 mm samples for MBE growth. Standard RCA clean and dilute HF dip were performed to remove residues and native oxide before transferring to MBE chamber. After degassing and dehydration, 60 nm Si buffer was deposited to improve the surface crystal quality. Subsequently, 600 nm Ge was grown at 340 °C with a growth rate of 1.0 Å/s to obtain the {113}-faceted Ge hollow structures [Fig. 1(b)]. Then, the sample was transferred to the III-V chamber for two-step GaAs growth comprised of 40 nm nucleation layer at 380 °C and 360 nm growth layer at 600 °C with the growth rate of 0.5 Å/s and 0.7 Å/s, respectively. Standard five-layer InAs/GaAs dot-in-a-well (DWELL) structure was deposited at 450 °C on the 500 nm virtual GaAs substrate. Specifically, each DWELL layer consisted of a 3.1-monolayer (ML) InAs QD sandwiched by a 2 nm In0.14Ga0.86As wetting layer and a 6 nm In0.14Al0.86As capping layer. Surface InAs/GaAs QDs were deposited with the same growth condition as the buried InAs QDs layer for atomic-force microscopy (AFM) imaging.

 figure: Fig. 1.

Fig. 1. (a) The schematic representation of the lattice mismatch dislocation termination at the sidewalls after heteroepitaxial growth on U-shaped patterned substrate. (b) Cross-sectional transmission electron microscope (TEM) image of 600 nm Ge on the U-shape patterned Si (001). Most dislocations terminate at the Ge sidewalls and {113} faceted sawtooth surface with underlying hollow structure forms after the Ge growth. (c) and (d) The cross-view SEM pictures after 1000 nm Ge growth on Si for the patterned and unpatterned region. Inserted top-view ECCI images show that the TDDs of Ge film are 5 ×107/cm2 and 2 ×109/cm2, respectively. The scale bars are 1µm and 500 nm.

Download Full Size | PDF

Figure 1(a) and Fig. 1(b) show the schematic representation and the corresponding cross-sectional transmission electron microscope image of the Ge layer on patterned Si (001). Due to 4.2% lattice mismatch between Ge and Si, strain relaxation takes place by the formation of misfit dislocations including 60° misfit dislocations (MDs) and edge MDs. Edge MDs are linear defects and they lie in the horizontal (001) plane which will not propagate in the growth direction. However, the probability of edge MD formation is relatively low [21]. For 60° MDs, they have the component along the growth direction and thus propagate towards the surface, which turns into threading dislocations. The TDs slide on the {111} plane and degrade the crystal quality [21]. Considering that dislocations cannot end inside the crystal but surface, surface with specific geometry needs to be designed for the termination of the TDs. By directly growth of 600 nm Ge at 340°C on patterned U-shape Si ridges, the ridge width gradually increases, and they eventually merge into a continuous film. Since the Ge {113} facets are energetically stable, hollow structure with {113} facetted surface was then achieved [Fig. 1(b)]. As schematically shown in Fig. 1(a), we expect that the 60° MDs can terminate at the sidewalls of the hollow structures [22]. This is confirmed by the cross-sectional TEM characterization that shows most TDs are indeed terminated at the sidewalls of Ge hollow structures with no further defects propagation to the top layer. Besides, TDs intend to bent toward the free surface caused by the image force [23]. Similar phenomena was observed for the Ge growth on patterned Si pillar structures [24]. To quantitatively disclose the superiority of the Ge epitaxy on the U-shape patterned Si (001), 400 nm Ge at 400 °C was further deposited to flatten the periodic film roughness induced from the Si patterns. The electron channeling contrast imaging (ECCI) images provide visualized results to compare the threading dislocation density (TDD) of the Ge film grown on standard Si (001) and U-shape patterned Si (001) at identical growth conditions. The results show that the TDDs were decreased by two orders of magnitude in Fig. 1(c) and 1(d), which are 5 ×107/cm2and 2 ×109/cm2, respectively. Based on the characterization results of cross-sectional TEM and top view ECCI, the conclusion can be made that the grating-like hollow structure has a significant influence in reducing the TDs and improving the film quality.

3. Characterizations and discussion

Since GaAs are both lattice and thermal matched to Ge, the major challenge to grow high quality GaAs film on Ge substrate is the polarity mismatch which will cause APDs. Techniques such as off-cut Ge or Si substrates can effectively reduce the APDs, as the high density atomic steps along specific directions can annihilate APDs at the interface [15,18] or the bi-atomic steps are able to avoid the formation of APDs [14,25] . The Ge {113} can be considered as a large off-cut substrate towards [110] direction. It has been demonstrated that the APBs can be annihilated within the very first few atomic layers of GaAs on Ge {113} substrate [26]. The creation of {113}-faceted surface here can annihilate the APBs at the interface. Figure 2 presents the cross-sectional TEM images of the GaAs/Ge interface. As shown in the zoom-in TEM image [Fig. 2(b)], APBs are not observed in the top GaAs layer on the Ge {113}, confirming that the APB annihilated within a few atomic layers. As shown in Fig. 2(c), the only area containing APB was found at the bottom area of the Ge sawtooth structure. The APB shows a trapezoidal shape and it annihilates in tens of nanometers. In order to confirm that the dark line in Fig. 2(c) is caused by APB, not linear defect, the fourier filtering was performed to improve the signal to noise ratio. As shown in Fig. 2(d), the whiter As atom of GaAs is at the top position in the upper-left region. While, the As atom is at the bottom position in the lower-right region. The APB with Ga-Ga bonds appear in between. Above all, The STEM results strongly verify the effectiveness of {113}-faceted Ge sawtooth structure in annihilating APBs.

 figure: Fig. 2.

Fig. 2. (a) Cross-sectional STEM-HADDF image of GaAs grown on Ge/Si(001) sawtooth hollow structure. (b) Zoom-in HADDF image of the marked region in (a) showing the GaAs/Ge interface of the {113} plane. (c) Zoom-in HADDF image of the interface at the bottom region. The kinked line in the dashed square shows the annihilation of APB. (d) Fourier-filtered STEM image from the marked region in (c) showing the APB. The brighter atom presents As, in contrast, the relatively darker atom is Ga. The left upper region with Ga-As bonds and right lower region with As-Ga bonds in (d) are separated by APB with Ga-Ga bonds in between.

Download Full Size | PDF

For the thermal mismatch issue between III-V and IV materials, the GaAs (Ge) film has a thermal expansion coefficient αepi of 5.8 × 10−6 °C-1 (5.86× 10−6 °C-1) at room temperature, larger than the αsub of Si, which is about 2.6 × 10−6 °C-1 [27]. At high growth temperature, the lattice mismatch is fully accommodated by dislocations and stacking faults. During the post-growth cooling process, a stress field starts to generate, leading to a thermal strain of ɛ = (αepisub) ΔT (ΔT is the change in temperature, by neglecting the simultaneous change of αsub and αepi with temperature). Theoretically, a tensile strain of ɛtherm = 1.86 × 10−3 (1.89 × 10−3) is obtained in this work for ΔT = 580 °C. In [28], a tensile strain of 1.65 × 10−3 for Ge epitaxy on Si, which is lower than the theoretical value due to the partial compensation with the residual compressive strain. To calculate the residual thermal strain of our sample, (004) and (2(-)2(-)4) reciprocal space mapping (RSM) were performed [Fig. 3(a) and 3(b)]. From peak positions, it has been found that for GaAs (Ge) the in-plane lattice constant and out-of-plane lattice constant were 5.660Å (5.659 Å) and 5.648 Å (5.654 Å), respectively. Compared with the lattice constant of bulk GaAs (5.653Å) and Ge (5.658 Å), the in-plane strain ɛ of GaAs (Ge) layer was 1.13 × 10−3 (1.68 × 10−4). The tensile strain here is the result of thermal expansion mismatch. The residual thermal strain 1.68 × 10−4 of Ge in this work is about 89.8% lower than that of 1.65 × 10−3 for Ge layer on normal Si substrates [29]. The residual thermal strain 1.13 × 10−3 of GaAs is 29.4% lower than that of 1.6 × 10−3 (ΔT = 600 °C) for GaAs layer grown on the Ge/Si template [28]. These results indicated that the hollow structure in this work plays an essential role in thermal strain reduction, due to the presence of extra free surface. It was theoretically predicted that the critical cracking thickness is inversely proportional to the square of the thermal stress [27]. Therefore, the growth of GaAs on {113}-faceted Ge/Si hollow structure can dramatically increase the critical cracking thickness of GaAs film. This is confirmed by our experiments where no cracking is observed up to 7 µm thick GaAs layer growth.

 figure: Fig. 3.

Fig. 3. HRXRD reciprocal-space maps taken around (004) (a) and (2(-)2(-)4) (b) reflections. Bragg peaks measured on the GaAs/Ge layer on patterned Si (001). Inset in (a): Higher resolution (004) map obtained by triple-axis mode.

Download Full Size | PDF

By implementing the growth techniques described above, smooth GaAs surface was achieved with only 400 nm thick GaAs deposition. The AFM characterization in Fig. 4(a) shows that the surface RMS is approximately 0.67 nm in a 5 × 5 µm2 region. ECCI was performed to determine the quality of GaAs film [Fig. 4(b)]. Relatively high dislocation density of 1.5 × 108/cm2 was observed on the 400 nm GaAs/Ge {113}/Si film. The TDD is reduced down to 5.7 × 106/cm2 with InGaAs/GaAs dislocation filter layers [19], as shown in the AFM and ECCI surface characterizations of Fig. 4(c) and 4(d). By comparing the surface morphology of Fig. 4(a) and 4(c), additional cross-hatches were observed, which induced by the misfit dislocations gliding at {111} planes. This kind of gliding was enhanced by the dislocation filter layers, resulting in the strain relaxation and TDDs reduction of the film.

 figure: Fig. 4.

Fig. 4. (a) and (b) AFM and plane-view ECCI images after the deposition of 400 nm GaAs on top of 600 nm thick Ge layer on the patterned Si (001) substrate. (c) and (d) AFM and plane-view ECCI images after adding 1.6µm dislocation filter structure on 400 nm GaAs.

Download Full Size | PDF

Furthermore, five-layer InAs/GaAs QDs was deposited on the GaAs/Ge {113}/Si structure. Figure 5(a) shows the cross-sectional TEM image of such structure. Room-temperature photoluminescence (PL) measurements were performed to compare InAs QDs on GaAs/Ge {113}/Si with identical structure grown on normal GaAs substrates, as shown in Fig. 5(b). A typical 1300 nm PL emission with the FWHM of 37 meV was achieved. The PL peak intensity of O-band InAs QDs on GaAs/Ge {113}/Si substrate is measured at 92% of InAs QDs on GaAs substrates. The inset 1 × 1 µm AFM image shows the density of QDs was approximately 4.8 × 1010/cm2. The excellent optical properties of InAs/GaAs QDs on {113}-faceted Ge/Si structure indicate the high crystal quality and practical potential of applying such techniques for future III-V/Si hybrid photonic devices.

 figure: Fig. 5.

Fig. 5. (a) STEM-HADDF image of five-layer InAs/GaAs QDs and (b) the corresponding room-temperature photoluminescence of such structure grown on GaAs/Ge/Si (001) substrate. The room temperature PL of identical QDs structures on GaAs is presented in (b) for comparison. Inset in (b): 1 × 1 µm2 AFM image of surface InAs QDs.

Download Full Size | PDF

4. Conclusions

In summary, we demonstrated the high-quality and ultra-thin directly epitaxial grown InAs/GaAs QDs on U-shape patterned Si (001) via the Ge sawtooth interlayer with {113} facets and underlying hollow structures. The experimental results verified that Ge thin film deposited on U-shaped patterned Si exhibit superior quality comparing with those on standard Si (001), as the threading dislocations terminated at the sidewall of the Ge sawtooth hollow structure. In addition, the Ge sawtooth structures with {113} facets were found significantly effective in annihilating and suppressing the APDs during the direct epitaxial growth of GaAs. Furthermore, such structure contributes effectively to the thermal stress reduction between III-V and IV materials, which has been an unsolved issue during thermal mismatched heteroepitaxial growth for many years. The smooth GaAs surface with RMS of approximately 0.67 nm were acquired by the growth of only 400 nm thick GaAs buffer, which is thinnest epi-structures up to date. Further improvement of the GaAs quality was obtained by adding InGaAs/GaAs quantum-well dislocations filters, showing that the TDDs could be reduced to 5.7 × 106/cm2. Five-layer InAs/GaAs QDs grown on such GaAs/Ge {113/Si structure are characterized with excellent optical properties. Therefore, the experimental demonstrated ultra-thin GaAs/Ge {113}/Si epi-structure could potentially be an alternative approach for realizing InAs/GaAs QD optoelectronic devices on Si with simplified epitaxial growth structures, which will lead to the ease of back-end process and on-chip integration.

Funding

National Natural Science Foundation of China (11434041, 11574356, 61635011, 61804177, 61975230); National Basic Research Program of China (973 Program) (2016YFA0300600, 2016YFA0301700); Youth Innovation Promotion Association of the Chinese Academy of Sciences (2018011); Chinese Academy of Sciences Key Project (QYZDB-SSW-JSC009).

Disclosures

The authors declare that there are no conflicts of interest related to this article.

References

1. W. Bogaerts, R. Baets, P. Dumon, V. Wiaux, S. Beckx, D. Taillaert, B. Luyssaert, J. Van Campenhout, P. Bienstman, and D. Van Thourhout, “Nanophotonic waveguides in silicon-on-insulator fabricated with CMOS technology,” J. Lightwave Technol. 23(1), 401–412 (2005). [CrossRef]  

2. Q. F. Xu, B. Schmidt, S. Pradhan, and M. Lipson, “Micrometre-scale silicon electro-optic modulator,” Nature 435(7040), 325–327 (2005). [CrossRef]  

3. I. A. Young, E. Mohammed, J. T. S. Liao, A. M. Kern, S. Palermo, B. A. Block, M. R. Reshotko, and P. L. D. Chang, “Optical I/O Technology for Tera-Scale Computing,” IEEE J. Solid-State Circuits 45(1), 235–248 (2010). [CrossRef]  

4. R. E. Camacho-Aguilera, Y. Cai, N. Patel, J. T. Bessette, M. Romagnoli, L. C. Kimerling, and J. Michel, “An electrically pumped germanium laser,” Opt. Express 20(10), 11316–11320 (2012). [CrossRef]  

5. S. Wirths, R. Geiger, N. von den Driesch, G. Mussler, T. Stoica, S. Mantl, Z. Ikonic, M. Luysberg, S. Chiussi, J. M. Hartmann, H. Sigg, J. Faist, D. Buca, and D. Grutzmacher, “Lasing in direct-bandgap GeSn alloy grown on Si,” Nat. Photonics 9(2), 88–92 (2015). [CrossRef]  

6. A. W. Fang, H. Park, O. Cohen, R. Jones, M. J. Paniccia, and J. E. Bowers, “Electrically pumped hybrid AlGaInAs-silicon evanescent laser,” Opt. Express 14(20), 9203–9210 (2006). [CrossRef]  

7. K. Tanabe, K. Watanabe, and Y. Arakawa, “III-V/Si hybrid photonic devices by direct fusion bonding,” Sci. Rep. 2(1), 349 (2012). [CrossRef]  

8. Z. H. Wang, R. Z. Yao, S. F. Preble, C. S. Lee, L. F. Lester, and W. Guo, “High performance InAs quantum dot lasers on silicon substrates by low temperature Pd-GaAs wafer bonding,” Appl. Phys. Lett. 107(26), 261107 (2015). [CrossRef]  

9. A. Y. Liu, C. Zhang, J. Norman, A. Snyder, D. Lubyshev, J. M. Fastenau, A. W. K. Liu, A. C. Gossard, and J. E. Bowers, “High performance continuous wave 1.3 mu m quantum dot lasers on silicon,” Appl. Phys. Lett. 104(4), 041104 (2014). [CrossRef]  

10. H. Y. Liu, T. Wang, Q. Jiang, R. Hogg, F. Tutu, F. Pozzi, and A. Seeds, “Long-wavelength InAs/GaAs quantum-dot laser diode monolithically grown on Ge substrate,” Nat. Photonics 5(7), 416–419 (2011). [CrossRef]  

11. J. Kwoen, B. Y. Jang, J. Lee, T. Kageyama, K. Watanabe, and Y. Arakawa, “All MBE grown InAs/GaAs quantum dot lasers on on-axis Si (001),” Opt. Express 26(9), 11568–11576 (2018). [CrossRef]  

12. B. Koch, A. Alduino, L. Liao, R. Jones, M. Morse, B. Kim, W. Z. Lo, J. Basak, H. F. Liu, H. S. Rong, M. Sysak, C. Krause, R. Saba, D. Lazar, L. Horwitz, R. Bar, S. Litski, A. S. Liu, K. Sullivan, O. Dosunmu, N. Na, T. Yin, F. Haubensack, I. W. Hsieh, J. Heck, R. Beatty, J. Bovington, and M. Paniccia, “A 4 × 12.5 Gb/s CWDM Si photonics link using integrated hybrid silicon lasers,” Conf. Laser Electr. (2011).

13. S. Zamir, B. Meyler, and J. Salzman, “Thermal microcrack distribution control in GaN layers on Si substrates by lateral confined epitaxy,” Appl. Phys. Lett. 78(3), 288–290 (2001). [CrossRef]  

14. R. Alcotte, M. Martin, J. Moeyaert, R. Cipro, S. David, F. Bassani, F. Ducroquet, Y. Bogumilowicz, E. Sanchez, Z. Ye, X. Y. Bao, J. B. Pin, and T. Baron, “Epitaxial growth of antiphase boundary free GaAs layer on 300 mm Si(001) substrate by metalorganic chemical vapor deposition with high mobility,” APL Mater. 4(4), 046101 (2016). [CrossRef]  

15. Y. Bogumilowicz, J. M. Hartmann, N. Rochat, A. Salaun, M. Martin, F. Bassani, T. Baron, S. David, X. Y. Bao, and E. Sanchez, “Threading dislocations in GaAs epitaxial layers on various thickness Ge buffers on 300 mm Si substrates,” J. Cryst. Growth 453, 180–187 (2016). [CrossRef]  

16. Y. T. Wan, Q. Li, Y. Geng, B. Shi, and K. M. Lau, “InAs/GaAs quantum dots on GaAs-on-V-grooved-Si substrate with high optical quality in the 1.3 mu m band,” Appl. Phys. Lett. 107(8), 081106 (2015). [CrossRef]  

17. A. Onno, J. Wu, Q. Jiang, S. M. Chen, M. C. Tang, Y. Maidaniuk, M. Benamara, Y. I. Mazur, G. J. Salamo, N. P. Harder, L. Oberbeck, and H. Y. Liu, “1.7eV Al0.2Ga0.8As solar cells epitaxially grown on silicon by SSMBE using a superlattice and dislocation filters,” Proc. SPIE 9743, 974310 (2016). [CrossRef]  

18. J. W. Lee, H. Shichijo, H. L. Tsai, and R. J. Matyi, “Defect Reduction by Thermal Annealing of Gaas-Layers Grown by Molecular-Beam Epitaxy on Si Substrates,” Appl. Phys. Lett. 50(1), 31–33 (1987). [CrossRef]  

19. W. Q. Wei, J. H. Wang, B. Zhang, J. Y. Zhang, H. L. Wang, Q. Feng, H. X. Xu, T. Wang, and J. J. Zhang, “InAs QDs on (111)-faceted Si (001) hollow substrates with strong emission at 1300 nm and 1550 nm,” Appl. Phys. Lett. 113(5), 053107 (2018). [CrossRef]  

20. B. Zhang, W. Q. Wei, J. H. Wang, J. Y. Zhang, H. Cong, Q. Feng, T. Wang, and J. J. Zhang, “2019 nm InAs quantum-dot microdisk lasers on SOI by hybrid epitaxy,” Opt. Express 27(14), 19348–19358 (2019). [CrossRef]  

21. Y. B. Bolkhovityanov and L. V. Sokolov, “Ge-on-Si films obtained by epitaxial growing: edge dislocations and their participation in plastic relaxation,” Semicond. Sci. Technol. 27(4), 043001 (2012). [CrossRef]  

22. T. A. Langdo, C. W. Leitz, M. T. Currie, E. A. Fitzgerald, A. Lochtefeld, and D. A. Antoniadis, “High quality Ge on Si by epitaxial necking,” Appl. Phys. Lett. 76(25), 3700–3702 (2000). [CrossRef]  

23. M. Yako, Y. Ishikawa, and K. Wada, “Coalescence induced dislocation reduction in selectively grown lattice-mismatched heteroepitaxy: Theoretical prediction and experimental verification,” J. Appl. Phys. 123(18), 185304 (2018). [CrossRef]  

24. R. Bergamaschini, F. Isa, C. V. Falub, P. Niedermann, E. Muller, G. Isella, H. von Kanel, and L. Miglio, “Self-aligned Ge and SiGe three-dimensional epitaxy on dense Si pillar arrays,” Surf. Sci. Rep. 68(3-4), 390–417 (2013). [CrossRef]  

25. W. Q. Wei, J. H. Wang, Y. Gong, J. A. Shi, L. Gu, H. X. Xu, T. Wang, and J. J. Zhang, “C/L-band emission of InAs QDs monolithically grown on Ge substrate,” Opt. Mater. Express 7(8), 2955–2961 (2017). [CrossRef]  

26. X. M. Lu, N. Kumagai, Y. Minami, and T. Kitada, “Sublattice reversal in GaAs/Ge/GaAs heterostructures grown on (113)B GaAs substrates,” Appl. Phys. Express 11(1), 015501 (2018). [CrossRef]  

27. V. K. Yang, M. Groenert, C. W. Leitz, A. J. Pitera, M. T. Currie, and E. A. Fitzgerald, “Crack formation in GaAs heteroepitaxial films on Si and SiGe virtual substrates,” J. Appl. Phys. 93(7), 3859–3865 (2003). [CrossRef]  

28. D. Kohen, S. Y. Bao, K. H. Lee, K. E. K. Lee, C. S. Tan, S. F. Yoon, and E. A. Fitzgerald, “The role of AsH3 partial pressure on anti-phase boundary in GaAs-on-Ge grown by MOCVD - Application to a 200 mm GaAs virtual substrate,” J. Cryst. Growth 421, 58–65 (2015). [CrossRef]  

29. A. Marzegalli, A. Cortinovis, F. B. Basset, E. Bonera, F. Pezzoli, A. Scaccabarozzi, F. Isa, G. Isella, P. Zaumseil, G. Capellini, T. Schroeder, and L. Miglio, “Exceptional thermal strain reduction by a tilting pillar architecture: Suspended Ge layers on Si (001),” Mater. Des. 116, 144–151 (2017). [CrossRef]  

Cited By

Optica participates in Crossref's Cited-By Linking service. Citing articles from Optica Publishing Group journals and other participating publishers are listed here.

Alert me when this article is cited.


Figures (5)

Fig. 1.
Fig. 1. (a) The schematic representation of the lattice mismatch dislocation termination at the sidewalls after heteroepitaxial growth on U-shaped patterned substrate. (b) Cross-sectional transmission electron microscope (TEM) image of 600 nm Ge on the U-shape patterned Si (001). Most dislocations terminate at the Ge sidewalls and {113} faceted sawtooth surface with underlying hollow structure forms after the Ge growth. (c) and (d) The cross-view SEM pictures after 1000 nm Ge growth on Si for the patterned and unpatterned region. Inserted top-view ECCI images show that the TDDs of Ge film are 5 ×107/cm2 and 2 ×109/cm2, respectively. The scale bars are 1µm and 500 nm.
Fig. 2.
Fig. 2. (a) Cross-sectional STEM-HADDF image of GaAs grown on Ge/Si(001) sawtooth hollow structure. (b) Zoom-in HADDF image of the marked region in (a) showing the GaAs/Ge interface of the {113} plane. (c) Zoom-in HADDF image of the interface at the bottom region. The kinked line in the dashed square shows the annihilation of APB. (d) Fourier-filtered STEM image from the marked region in (c) showing the APB. The brighter atom presents As, in contrast, the relatively darker atom is Ga. The left upper region with Ga-As bonds and right lower region with As-Ga bonds in (d) are separated by APB with Ga-Ga bonds in between.
Fig. 3.
Fig. 3. HRXRD reciprocal-space maps taken around (004) (a) and (2(-)2(-)4) (b) reflections. Bragg peaks measured on the GaAs/Ge layer on patterned Si (001). Inset in (a): Higher resolution (004) map obtained by triple-axis mode.
Fig. 4.
Fig. 4. (a) and (b) AFM and plane-view ECCI images after the deposition of 400 nm GaAs on top of 600 nm thick Ge layer on the patterned Si (001) substrate. (c) and (d) AFM and plane-view ECCI images after adding 1.6µm dislocation filter structure on 400 nm GaAs.
Fig. 5.
Fig. 5. (a) STEM-HADDF image of five-layer InAs/GaAs QDs and (b) the corresponding room-temperature photoluminescence of such structure grown on GaAs/Ge/Si (001) substrate. The room temperature PL of identical QDs structures on GaAs is presented in (b) for comparison. Inset in (b): 1 × 1 µm2 AFM image of surface InAs QDs.
Select as filters


Select Topics Cancel
© Copyright 2024 | Optica Publishing Group. All rights reserved, including rights for text and data mining and training of artificial technologies or similar technologies.