Expand this Topic clickable element to expand a topic
Skip to content
Optica Publishing Group

Multi-objective lithographic source mask optimization to reduce the uneven impact of polarization aberration at full exposure field

Open Access Open Access

Abstract

Source and mask optimization (SMO) technology based on vectorial image model is indispensable in immersion lithography process at advanced technology node. Many kinds of algorithms have achieved successes in aspect of fast and robust SMO without accounting polarization aberration (PA). However, because the PA arising from immersion projection optics unevenly impacts on imaging performance, the conventional SMO would not be applicable in real lithography system. In this paper, we first investigate the serious impact of PA on SMO in details. The SMO accounting the assigned PA of one field point is not applicable to other field points, where the pattern fidelity is fiercely worse and the pattern error (PAE) is nearly doubled. Then, we innovate a MOSMO method to reduce the uneven impact of PA on lithography imaging at full exposure field. Compared to the assigned PA aware SMO, the proposed MOSMO reduces the standard deviation of PAE distribution by 53.3% and enlarges the maximum exposure latitude from 4% to 6.7%, which demonstrates the MOSMO is very significant to balance imaging quality and improve process robustness at full exposure field.

© 2019 Optical Society of America under the terms of the OSA Open Access Publishing Agreement

1. Introduction

The advanced source and mask optimization (SMO) technology has being developed by means of extensive simulation before it is adopted in integrated circuits (IC) manufacture in order to improve the lithography process and yield of product [1,2]. In 2001, the SMO is first proposed by Rosenbluth et al. to increase the optimization degree of freedom and lithography image fidelity [3]. Until 2010, the experimental study of SMO was developed based on novel and advanced freeform illumination sources [4]. In 2011, the SMO technology has just been adopted in advanced lithography process with extremely expensive facility IC manufacture factory [5]. Then many excellent SMO methods have been proposed to improve lithography imaging robustness, mask manufacturability, and computational efficiency [6–12]. However, the most of conventional SMO methods don’t take into account the polarization aberration (PA), which is defined as the spatial variations of light’s polarization state cross the pupil of immersion projection optics (PO) [13,14]. PA innately exists in hyper numerical aperture (NA) immersion PO, and usually relates to the position of exposure field [15,16], which means the PA are uneven at full exposure field of PO.

Several solid work systematically and amply analyze the influence of PA on hyper-NA lithography imaging [17–20]. However, there are very few studies on the impact of uneven PA at full exposure field on SMO. Our early work proposed a robust mask optimization method accounting the assigned PA of single field point [21]. But even if the above robust mask optimization can be developed into an assigned PA aware SMO, it still aims to improve the lithography performance at only one assigned field point. For large-field and immersion PO, the value of PA is field dependent, so the optimized source and mask attained by the SMO accounting the assigned PA at one field point would not apply to other field points at all, which are severely against for the uniformity of image fidelity and the overlap of process window (PW) at full exposure field. Furthermore, using this assigned PA aware SMO method, every field point would correspond to a pair of different optimized source and mask. It is absolutely unacceptable in full exposure field of real lithography system to use more than one pair of source pattern and mask pattern. Thus, this assigned PA aware SMO is not applicable in real lithography process. It is necessary to propose a novel SMO method to compensate and balance the uneven impact of different PA on lithography imaging at full field.

In this paper, we firstly build a new SMO model accounting the assigned PA at single field point and investigate the serious impact of different PA on SMO. The simulation results show the PA of marginal field point aware SMO is not suitable for center field point and the PA of center field point aware SMO is not suitable for marginal field point, where the pattern error (PAE) increases by 84.8% and 121.3%, respectively. Then, we innovate a multi-objective SMO (MOSMO) to reduce the uneven impact of field dependent PA on lithography imaging. To the best of our knowledge, this is the first paper focusing on balancing lithography imaging of full exposure field in SMO. Different from aforementioned assigned PA aware SMO method, the proposed MOSMO designs a novel multi-objective cost function including the PA information of almost all field points. So the optimized source and mask by MOSMO can apply to full exposure field. Aiming at the PO designed by our group, the cost function of MOSMO is designed as the weighted sum of lithography imaging PAE with nine representative field points. Compared to the assigned PA aware SMO, the simulation results demonstrate the proposed MOSMO approach reduces the average of PAE distribution at full field from 503 to 243, narrows the range of PAE distribution at full field from 822 to 687, and improves the maximum exposure latitude of global field from 4% to 6.7%. Thus, the proposed MOSMO can balance imaging quality and improve process robustness at full exposure field.

The remainder of this paper is organized as follows. The assigned PA aware SMO and the impact of different PA on SMO are illustrated in Section 2. The model, algorithm, and simulations of MOSMO are provided in Section 3. Conclusions are presented in Section 4.

2. The impact of PA on SMO

In this section, we propose a novel SMO method accounting the assigned PA at single field point and investigate the serious impact of different PA on SMO results for the first time. The simulations demonstrate different PA at different field point would result in different SMO results, which can’t be accepted in real lithography process.

As the aforementioned statement, the value of PA depends on the position of exposure field. Figure 1 shows the off-axis rectangle exposure field and the position of field point for the PO designed by our group with NA 1.2 [22]. In our early work, we proposed the robust mask optimization method accounting assigned PA at one field point. The vectorial imaging model described in [21] is accurate to describe the impact of PA on hyper-NA lithography imaging. In this model, the aerial image I can be formulated as:

I=1Jsumxsys(J(xs,ys)p=x,y,z|Hpxsys(Bxsys  M)|22),
where J(xs,ys) is the intensity of source point at (xs,ys), and M denotes the distribution of mask transmissivity. The notation represents matrix convolution. The Hpxsys is complex spatial filter of p-component and the Bxsys is mask diffraction matrix. The Hpxsysinvolves the impact of PA on electric vector, which can be formulated as:
Hpxsys=F-1{2πnw×C×VxsysUPAEixsys},
where notation F-1 represents inverse Fourier transform.

 figure: Fig. 1

Fig. 1 The off-axis rectangle exposure field and field point position in image plane.

Download Full Size | PDF

Here we firstly build a novel SMO model including the assigned PAi at ith field point. The Euler distance Dibetween resist image Zi(PAi) and target pattern Z˜ is designed as a new cost function accounting the PAi, which is different from that of previous SMO:

Di=d(Zi(PAi),Z˜)=Zi(PAi)Z˜22.
Thus, the assigned PAi aware SMO problem can be expressed as:
(J^,M^)=arg  minJ,MDi(J,M).
In the following, we mainly focus on the SMO accounting the assigned PA at center field point F3 and the assigned PA at marginal field point F11. For simplicity, the above two assigned PA aware SMO are named as F3-SMO and F11-SMO, respectively.

The sigmoid function [23] is adopted to approximate the photoresist effect for the continuity of cost function. Thus, the resist image on the wafer can be formulated as:

Z=sig(I)=11+exp[a(Itr)],
where tr is the photoresist threshold, and a indicates the steepness of the sigmoid function. It is worth noting that the photoresist threshold tr can approximately represent the exposure dose in threshold model. In a sense, the double tr is approximately equivalent to the half exposure dose for the final resist image. Thus the tr is used to represent exposure dose in following Fig. 6.

The steepest-descent method is used to solve the assigned PA aware SMO problem. In future work, we would introduce more advanced algorithms to solve this problem effectively and efficiently, however, which is out of the scope of this paper. According to our previous work about gradient-based SMO [24], the solving process of SMO problem is provided in Table 1 in the form of pseudo-code. The derivation of key gradient formula for cost function is shown in the Appendix. The original source pattern is annular illumination with inner coherent factor 0.82 and outer coherent factor 0.97. The original mask pattern is the same as target pattern.

Tables Icon

Table 1. Pseudo-code of the assigned PA aware SMO algorithm.

Next, we would compare simulation results and lithography imaging evaluations between F3-SMO and F11-SMO to illustrate the serious impact of PA on SMO. In simulation, the parameters of lithography system are as follows: the illumination wavelength is 193nm, the illumination polarization is TE-polarization, the reduction ratio is 1:4, and the NA on the wafer side is 1.2. The tr in Eq. (5) is 0.12 and the tm in Table 1 is 0.5. Figure 2 shows two target patterns used in simulations. Both two test patterns are represented by a 201 × 201 matrix with pixel size of 5.625nm × 5.625nm on wafer scale, which means the mask dimension is finite 4522.5 nm × 4522.5 nm in simulation. Thus, the size of one period pattern on mask is very small in rectangle field. The following simulations are based on the hypothesis: different regions in the same period pattern correspond to the same field point whereas different period patterns correspond to different field points.

 figure: Fig. 2

Fig. 2 Two target patterns used in the simulation. The red lines mark the locations for PW calculation.

Download Full Size | PDF

The Kirchhoff approximation is adopted to calculate the diffraction of mask which does not decrease the significant of our method in this paper, because thick mask effect can be compensated via active pupil wavefront optimization as reported in our earlier work [25].

Figures 3(a)-3(h) respectively display the optimized source pattern, the optimized mask pattern, the printed image at center field-of-view (FOV) F3 and the printed image at marginal FOV F11, which are obtained by F3-SMO and F11-SMO for pattern #1. We use PAE as the criterion to evaluate the pattern fidelity of lithography imaging. The PAE is defined as:

PAE=Z˜Ξ{Itr}22,
where Ξ{}=0 if the argument is smaller than 0; otherwise, Ξ{}=1.

 figure: Fig. 3

Fig. 3 Optimization results and evaluations of the F3-SMO and F11-SMO for pattern #1. Left to right: optimized source pattern, optimized mask pattern, printed image at center FOV F3 and marginal FOV F11.

Download Full Size | PDF

In Fig. 3, the optimized source patterns of F3-SMO and F11-SMO are similar, but the optimized mask patterns of F3-SMO and F11-SMO are very different. That may be because the PA is mainly compensated by optimized mask in SMO. But there is no doubt that considering different PA in SMO would result in different optimized source and mask, which can’t be accepted in real lithography process. Moreover, for F3-SMO, the PAE at F11 field point is much larger than the PAE at F3 field point, increasing by 121.3%. Such uneven lithography imaging at different field points is unable to satisfy the requirement of lithography process. Similarly, the F11-SMO is not suitable for center field point F3, where the PAE increases to 1096 from 592. The simulations show the assigned PA aware SMO can’t simultaneously apply to all field points.

3. MOSMO model, algorithm, and simulation

To overcome the drawback of the assigned PA aware SMO and balance the lithography imaging at full exposure field, we innovate a MOSMO method against the uneven impact of field dependent PA on lithography imaging. The common solutions of multi-objective optimization problem mainly include weighted sum method [26] and non-dominated sorting genetic algorithm (NSGA)-II method [27]. But several works [28,29] indicated the genetic algorithm requires lengthy calculation times, which is not suitable for pixelated SMO with high degree-of-freedom. Thus, in this paper we adopt weighted sum method to transform the MOSMO problem to a single objective optimization. According to Section 2, there are different PA at different field points and the data of PA at all field points are known. We design the novel cost function of MOSMO as the weighted sum of pattern fidelity with each field point, which includes the PA information at almost all field points. The multi-objective cost function D can be formulated as:

D=i=1nωiDi=i=1nωiZi(PAi)Z˜22,
where ωi is the weighting factor of the ith field point and constrained byi=1nωi=1. Particularly, in this paper we adopt nine representative field points shown in Fig. 1 to establish the multi-objective cost function. Thus, the specific cost function D is:
D=ω1D1+ω2D2+ω3D3+ω6D6+ω7D7+ω8D8+ω11D11+ω12D12+ω13D13.
The MOSMO problem can be formulated as:

(J^,M^)=arg  minJ,MD(J,M).

Because the cost function D is the weighted sum of Di, we can also use the steepest-descent method to solve MOSMO problem. According to [30], the approximate Pareto-front can be attained by solving the MOSMO problem under all kinds of weighting vectors. Considering the length of this paper, we introduce two representative weighting strategy. In the first strategy, all weighting factors are equal. This simple strategy, which is called mean-MOSMO, is very acceptable because all field points are equal in the lithography imaging process. Table 2 provides the pseudo-code of solving process for mean-MOSMO problem with the similar form as Table 1. In simulation, the original source pattern, the original mask pattern, and the parameters of lithography system are the same as described in Section 2.

Tables Icon

Table 2. Pseudo-code of the mean-MOSMO algorithm.

The second weighting strategy is considering the different impact for different field points. So in this strategy, we adaptively update the weighting factor in every iteration based on the PAE distribution of last iteration. We call this weighting strategy adaptive-MOSMO. Table 3 provides the pseudo-code of solving process about adaptive-MOSMO. Except weighting factor, other parameters are the same as Table 2.

Tables Icon

Table 3. Pseudo-code of the adaptive-MOSMO algorithm.

In order to demonstrate the superiority of the proposed MOSMO, we compare the lithography performance at full exposure field between the F11-SMO and two MOSMO methods. Figures 4(a)-4(l) respectively display the optimized source pattern, the optimized mask pattern, the printed image at center field point F3 and the printed image at marginal field point F11, which are obtained by different SMO methods for pattern #1.

 figure: Fig. 4

Fig. 4 Optimization results and evaluations of the F11-SMO, mean-MOSMO, and adaptive-MOSMO for pattern #1. Left to right: optimized source pattern, optimized mask pattern, printed image at center FOV F3 and marginal FOV F11.

Download Full Size | PDF

Generally, the center field point corresponds to better imaging quality than marginal field point owing to lighter PA. However, for F11-SMO method, Figs. 4(c) and 4(d) show the PAE at marginal field point F11 is very little and the PAE at center field point F3 is very large. It is because the optimized source and mask by F11-SMO method are only suitable for field point F11. In addition, for both MOSMO methods, the difference between PAE of two field points is less than that of F11-SMO method, which shows the MOSMO effectively balance the lithography imaging for different FOVs. Particularly, compared to mean-MOSMO, the adaptive-MOSMO attains better and closer imaging quality for both FOVs.

To further analyze above phenomena, Fig. 5 demonstrates the comparison of PAE between F11-SMO, mean-MOSMO, and adaptive-MOSMO at each field point for pattern #1. Although the F11-SMO method has the better performance at some field points, such as F1, F6, and F11, the overall data still clearly shows that the proposed two MOSMO methods have more balanced and consistent PAE distribution at full field. Table 4 provides a quantitative comparison about the statistics of PAE distribution with different SMO methods. The sharp decline of PAE mean-value shows both two MOSMO methods integrally improve pattern fidelity at full field compared to F11-SMO. The less standard deviation and range mean the PAE distribution with MOSMO is more stable and consistent than that of F11-SMO method at full field, which is because all PAEs of nine field points are controlled simultaneously in the MOSMO flow. In addition, the adaptive-MOSMO method attains the less standard deviation and range than mean-MOSMO, which demonstrates the adaptive weighting strategy sufficiently reduces extreme PAE and ensures the consistence of lithography imaging. The comparison of PAE distribution proves the adaptive-MOSMO has superior lithography performance to other two SMO methods in imaging quality and imaging uniformity.

 figure: Fig. 5

Fig. 5 The PAE with different methods at each field point for pattern #1.

Download Full Size | PDF

Tables Icon

Table 4. The statistics of PAE distribution with different SMO methods for pattern #1

Besides PAE, PW is the other important criterion to evaluate the lithography performance. The PW consists of all pairs of depth of focus (DOF) and exposure latitude (EL) that satisfy the linewidth and position measurement specification, typically ± 10% to ideal target pattern. In this paper, the global-field PW (GPW) for all field points shown in Fig. 1 and all calculated locations marked by the red lines shown in Fig. 2 is used to evaluate the lithography process stability and robustness in the following part. As shown in Fig. 6, the GPW is defined as the overlap of all PWs at each field point, which means the GPW is typically determined by the worst performance at one field. Thus, the proposed MOSMO should result in a better GPW than the F11-SMO in theory. Figure 6 illustrates the GPW obtained by F11-SMO method, mean-MOSMO method, and adaptive-MOSMO method. In Fig. 6(a), every general color curve represents the PW of one field point, and the bold blue curve represents overlap of all PWs of each field point for F11-SMO method. We can find the overlap area of multiple color curves in Fig. 6(a) is very small. That is why the F11-SMO method can’t attain large GPW. Figure 6(b) and 6(c) provide the relationship between PW and field point for mean-MOSMO and adaptive-MOSMO in a similar form with Fig. 6(a), respectively. In both Fig. 6(b) and 6(c), the area of bold blue curve is much larger than that of Fig. 6(a), which confirms the proposed MOSMO can enlarge lithography GPW at full field.

 figure: Fig. 6

Fig. 6 The overlap of PW in full field with different SMO methods. (a) F11-SMO method; (b) mean-MOSMO method; (c) adaptive-MOSMO method.

Download Full Size | PDF

Based on Fig. 6, Fig. 7 compares the GPW of F11-SMO and MOSMO in the form of EL-DOF curve for pattern #1. The blue curve, red curve, green curve in Fig. 7 corresponds to Fig. 6(a), 6(b), 6(c), respectively. The size of blue curve is the smallest in three curves, which is tally with the result of Fig. 6. Particularly, the F11-SMO method can only attain quite low EL with 4%, which is unable to satisfy the industrial requirement with 5% EL. It is noted that the green curve corresponds the largest size, which shows adaptive-MOSMO attains larger GPW. Thus, according to simulation results of imaging uniform and GPW, adaptive-MOSMO is the better weighting strategy the mean-MOSMO.

 figure: Fig. 7

Fig. 7 The comparison of EL-DOF curve with F11-SMO method (blue curve), mean-MOSMO method (red curve), and adaptive MOSMO method (green curve) for pattern #1.

Download Full Size | PDF

Runtime may be a main challenge for the proposed MOSMO. In theory, the calculation complexity of MOSMO method would increase nine times compared with that of F11-SMO. But we can reduce the runtime via parallel computing. In this paper, the simulation code is implemented in MATLAB, and the computations are carried out on an Intel(R) Xeon(R) E5-2650 v2 CPU, 2.30 GHz, 64GB of RAM. If only one computing core is used, the runtime of F11-SMO is 6064 seconds, and the runtime of mean-MOSMO is 20358s. In the case of parallel computing with 4 computing cores, the runtime of mean-MOSMO decreases to 13847s. The runtime of the proposed MOSMO would be further reduced via using more computing cores and more advanced algorithms.

To demonstrate the universality of the proposed MOSMO method, Fig. 8 provides the optimization results and evaluations of F11-SMO, mean-MOSMO, and adaptive-MOSMO for pattern #2 in a similar form with Fig. 4. Due to the lower complexity of pattern #2, the PAE of pattern #2 are smaller than the PAE of pattern #1 for all SMO methods. But the distribution feature between PAE and field point is still related to SMO method and independent of target pattern. The PAE with F11-SMO method at marginal FOV F11 is much larger than center FOV F3, and the MOSMO methods still result in relatively uniform PAE distribution for both two field points. It is noted that adaptive-MOSMO attains much closer imaging quality than mean-MOSMO for two field points, which reflects the superior of adaptive weighting strategy in balance lithography imaging.

 figure: Fig. 8

Fig. 8 Optimization results and evaluations of the F11-SMO, mean-MOSMO, and adaptive MOSMO for pattern #2. Left to right: optimized source pattern, optimized mask pattern, printed image at center FOV F3 and marginal FOV F11.

Download Full Size | PDF

Figure 9 demonstrates the comparison of PAE between F11-SMO, mean-MOSMO, and adaptive-MOSMO at each field point for pattern #2 in a similar form as Fig. 5. Table 5 provides the quantitative comparison statistics of PAE distribution with different SMO methods. The comparison of PAE distribution still demonstrates the proposed MOSMO methods has superior imaging quality and imaging uniformity to the F11-SMO at full field for pattern #2, which shows the universality of the proposed MOSMO. Particularly, compared to mean-MOSMO method, the standard deviation of adaptive-MOSMO decrease 38.2% and the range of adaptive-MOSMO decrease 47.4%, which again reflects the superior of adaptive weighting strategy in balance lithography imaging.

 figure: Fig. 9

Fig. 9 The PAE with different methods at each field point for pattern #2.

Download Full Size | PDF

Tables Icon

Table 5. The statistics of PAE distribution with different SMO methods for pattern #2

Figure 10 compares the GPW in the form of EL-DOF curve attained by F11-SMO method, mean-MOSMO method, and adaptive-MOSMO method for pattern #2. Due to the lower complexity of pattern #2, the pattern #2 has larger size of EL-DOF curve for all SMO methods than those of pattern #1. However, we can still find the proposed MOSMO methods result in larger GPW than F11-SMO method in Fig. 10. In addition, adaptive-MOSMO attains a little larger GPW compared to mean-MOSMO. Figure 9 and 10 demonstrate that one pair of mask and source optimized by proposed MOSMO methods, particularly adaptive-MOSMO, can completely realize the more consistent lithography image and larger process window even if the different PAs exist at full field.

 figure: Fig. 10

Fig. 10 The comparison of EL-DOF curve with F11-SMO method (blue curve), and mean-MOSMO method (red curve), and adaptive-MOSMO (green curve) for pattern #2.

Download Full Size | PDF

4. Conclusion

This paper firstly develops an assigned PA aware SMO method and investigates the serious impact of PA on SMO. Because the lithography imaging and SMO results dependence of PA is associated with the field point, the assigned PA aware SMO is not applicable to other field points. To overcome this drawback, we innovate a MOSMO against uneven PA to balance lithography imaging at full exposure field. The weighted sum of PAE at each field point is designed as a novel multi-objective cost function in MOSMO method. Two weighting strategy, mean-MOSMO and adaptive-MOSMO are introduced, implemented, and discussed in this paper. Compared to the assigned PA aware SMO, extensive simulations demonstrate the proposed MOSMO can improve the consistence of PAE distribution and enlarge the GPW at full exposure field for different test patterns. Moreover, the simulation results demonstrate and prove the adaptive-MOSMO is the better weighting strategy. In conclusion, the proposed MOSMO can balance lithography performance and contribute to lithography process robustness improvement at full exposure field.

Appendix

For simplicity, some formulas derived in our previous papers [21,24] are directly used in the following derivation without detailed explanation.

The derivation of gradient for cost function D is as follows:

D=iωiDi.
According to [21], the aerial image I could be rewrite as:
Ii(PAi)=1Jsumxsys(J(xs,ys)p=x,y,z|Hpxs,ys(PAi)(BM)|2).
In this case, our previous work [24] has proven the gradient of Di respect to the source pattern and mask pattern can be calculated as:
JDi  =  asinΩJJsum1N×1T[p=x,y,z|Epwafer|2  (Z˜Z)  Z  (1Z)]1N×1;
MDi  =  2asinΩMJsumxsys[Jxsysp=x,y,zRe{Bxsys*[Hpxsys*οΛp] }] .
Thus, the gradient of D respect to the source pattern and mask pattern can be calculated as:

JD  = iωiJDi(PAi);
MD  = iωiMDi(PAi).

Funding

National Natural Science Foundation of China (NSFC) (61675026, 11627808); National Science and Technology Major Project (2017ZX02101006-001).

Acknowledgments

We gratefully acknowledge KLA-Tencor Corporation for providing academic use of PROLITH.

References

1. A. Poonawala, W. Stanton, and C. Sawh, “Source mask optimization for advanced lithography nodes,” Proc. SPIE 7640, 76401M (2010). [CrossRef]  

2. L. Pang, G. Xiao, V. Tolani, P. Hu, T. Cecil, T. Dam, K.-H. Baik, and B. Gleason, “Inverse Lithography Technology (ILT) Enabled Source Mask Optimization (SMO),” ECS Trans. 18(1), 299–314 (2009).

3. A. E. Rosenbluth, S. J. Bukofsky, M. S. Hibbs, K. Lai, A. F. Molless, R. N. Singh, and A. K. K. Wong, “Optimum mask and source patterns to print a given shape,” Proc. SPIE 4346, 486–502 (2001). [CrossRef]  

4. J. Bekaert, B. Laenens, S. Verhaegen, L. Van Look, D. Trivkovic, F. Lazzarino, G. Vandenberghe, P. van Adrichem, R. Socha, S. Baron, M. C. Tsai, K. Ning, S. Hsu, H. Y. Liu, M. Mulder, A. Bouma, E. van der Heijden, O. Mouraille, K. Schreel, J. Finders, M. Dusa, J. Zimmermann, P. Gräupner, J. T. Neumann, and C. Hennerkes, “Freeform illumination sources: an experimental study of source-mask optimization for 22-nm SRAM cells,” Proc. SPIE 7640, 764008 (2010). [CrossRef]  

5. C. T. Lim, V. Temchenko, U. Klostermann, V. Domnenko, J. Schneider, D. Sarlette, I. Meusel, D. Kaiser, and R. Ploss, “Source and Mask Optimization Applications in Manufacturing,” Proc. SPIE 7973, 797322 (2011).

6. J. Li and E. Y. Lam, “Robust source and mask optimization compensating for mask topography effects in computational lithography,” Opt. Express 22(8), 9471–9485 (2014). [CrossRef]   [PubMed]  

7. C. Han, Y. Li, X. Ma, and L. Liu, “Robust hybrid source and mask optimization to lithography source blur and flare,” Appl. Opt. 54(17), 5291–5302 (2015). [CrossRef]   [PubMed]  

8. T. Li and Y. Li, “Lithographic source and mask optimization with low aberration sensitivity,” IEEE Trans. Nano. 16(6), 1099–1105 (2017). [CrossRef]  

9. X. Wu, S. Liu, W. Lv, and E. Y. Lam, “Sparse nonlinear inverse imaging for shot count reduction in inverse lithography,” Opt. Express 23(21), 26919–26931 (2015). [CrossRef]   [PubMed]  

10. X. Ma, Z. Song, Y. Li, and G. R. Arce, “Block-based mask optimization for optical lithography,” Appl. Opt. 52(14), 3351–3363 (2013). [CrossRef]   [PubMed]  

11. Y. Shen, “Lithographic source and mask optimization with narrow-band level-set method,” Opt. Express 26(8), 10065–10078 (2018). [CrossRef]   [PubMed]  

12. J. Li, S. Liu, and E. Y. Lam, “Efficient source and mask optimization with augmented Lagrangian methods in optical lithography,” Opt. Express 21(7), 8076–8090 (2013). [CrossRef]   [PubMed]  

13. J. P. McGuire Jr. and R. A. Chipman, “Diffraction image formation in optical systems with polarization aberrations. I - Formulation and example,” J. Opt. Soc. Am. A 7(9), 1614–1626 (1990). [CrossRef]  

14. J. P. McGuire Jr. and R. A. Chipman, “Polarization aberrations. 1. Rotationally symmetric optical systems,” Appl. Opt. 33(22), 5080–5100 (1994). [CrossRef]   [PubMed]  

15. X. Xu, W. Huang, and M. Xu, “Orthogonal polynomials describing polarization aberration for rotationally symmetric optical systems,” Opt. Express 23(21), 27911–27919 (2015). [CrossRef]   [PubMed]  

16. X. Xu, W. Huang, and M. Xu, “Orthonormal polynomials describing polarization aberration for M-fold optical systems,” Opt. Express 24(5), 4906–4912 (2016). [CrossRef]   [PubMed]  

17. J. Kye, G. McIntyre, Y. Norihiro, and H. J. Levinson, “Polarization aberration analysis in optical lithography systems,” Proc. SPIE 6154, 61540E (2006). [CrossRef]  

18. B. Geh, J. Ruoff, J. Zimmermann, P. Gräupner, M. Totzeck, M. Mengel, U. Hempelmann, and E. Schmitt-Weaver, “The impact of projection lens polarization properties on lithographic process at hyper-NA,” Proc. SPIE 6520, 65200F (2007). [CrossRef]  

19. Y. Tu, X. Wang, S. Li, and Y. Cao, “Analytical approach to the impact of polarization aberration on lithographic imaging,” Opt. Lett. 37(11), 2061–2063 (2012). [CrossRef]   [PubMed]  

20. E. Li, Y. Li, N. Sheng, T. Li, Y. Sun, and P. Wei, “A nonlinear measurement method of polarization aberration in immersion projection optics by spectrum analysis of aerial image,” Opt. Express 26(25), 32743–32756 (2018). [CrossRef]   [PubMed]  

21. X. Ma, Y. Li, X. Guo, L. Dong, and G. R. Arce, “Vectorial mask optimization methods for robust optical lithography,” J. Micro/Nanolith. MEMS MOEMS 11(4), 043008 (2012). [CrossRef]  

22. X. Liu, Y. Li, and K. Liu, “Polarization aberration control for hyper-NA lithographic projection optics at design stage,” Proc. SPIE 9618, 96180H (2015).

23. W.-C. Huang, C.-H. Lin, C.-C. Kuo, C. C. Huang, J. F. Lin, J.-H. Chen, R.-G. Liu, Y. C. Ku, and B.-J. Lin, “Two threshold resist models for optical proximity correction,” Proc. SPIE 5377, 1536–1543 (2004). [CrossRef]  

24. X. Ma, C. Han, Y. Li, L. Dong, and G. R. Arce, “Pixelated source and mask optimization for immersion lithography,” J. Opt. Soc. Am. A 30(1), 112–123 (2013). [CrossRef]   [PubMed]  

25. C. Han, Y. Li, L. Dong, X. Ma, and X. Guo, “Inverse pupil wavefront optimization for immersion lithography,” Appl. Opt. 53(29), 6861–6871 (2014). [CrossRef]   [PubMed]  

26. R. T. Marler and J. S. Arora, “The weighted sum method for multi-objective optimization: new insights,” Struct. Multidiscipl. Optim. 41(6), 853–862 (2010). [CrossRef]  

27. K. Deb, A. Pratap, S. Agarwal, and T. Meyarivan, “A Fast and Elitist Multiobjective Genetic Algorithm: NSGA-II,” IEEE Transactions on Evolutionary 6(2), 853–862 (2002). [CrossRef]  

28. L. Wang, S. Li, X. Wang, G. Yan, and C. Yang, “Source optimization using particle swarm optimization algorithm in photolithography,” Proc. SPIE 9426, 94261L (2015). [CrossRef]  

29. H.-F. Kuo and F. Li, “Ant Colony Optimization–Based Freeform Sources for Enhancing Nanolithographic Imaging Performance,” IEEE Trans. Nano. 15(4), 599–606 (2016). [CrossRef]  

30. I. Y. Kim and O. L. de Weck, “Adaptive weighted sum method for multiobjective optimization: a new method for Pareto front generation,” Struct. Multidiscipl. Optim. 31(2), 105–116 (2006). [CrossRef]  

Cited By

Optica participates in Crossref's Cited-By Linking service. Citing articles from Optica Publishing Group journals and other participating publishers are listed here.

Alert me when this article is cited.


Figures (10)

Fig. 1
Fig. 1 The off-axis rectangle exposure field and field point position in image plane.
Fig. 2
Fig. 2 Two target patterns used in the simulation. The red lines mark the locations for PW calculation.
Fig. 3
Fig. 3 Optimization results and evaluations of the F3-SMO and F11-SMO for pattern #1. Left to right: optimized source pattern, optimized mask pattern, printed image at center FOV F3 and marginal FOV F11.
Fig. 4
Fig. 4 Optimization results and evaluations of the F11-SMO, mean-MOSMO, and adaptive-MOSMO for pattern #1. Left to right: optimized source pattern, optimized mask pattern, printed image at center FOV F3 and marginal FOV F11.
Fig. 5
Fig. 5 The PAE with different methods at each field point for pattern #1.
Fig. 6
Fig. 6 The overlap of PW in full field with different SMO methods. (a) F11-SMO method; (b) mean-MOSMO method; (c) adaptive-MOSMO method.
Fig. 7
Fig. 7 The comparison of EL-DOF curve with F11-SMO method (blue curve), mean-MOSMO method (red curve), and adaptive MOSMO method (green curve) for pattern #1.
Fig. 8
Fig. 8 Optimization results and evaluations of the F11-SMO, mean-MOSMO, and adaptive MOSMO for pattern #2. Left to right: optimized source pattern, optimized mask pattern, printed image at center FOV F3 and marginal FOV F11.
Fig. 9
Fig. 9 The PAE with different methods at each field point for pattern #2.
Fig. 10
Fig. 10 The comparison of EL-DOF curve with F11-SMO method (blue curve), and mean-MOSMO method (red curve), and adaptive-MOSMO (green curve) for pattern #2.

Tables (5)

Tables Icon

Table 1 Pseudo-code of the assigned PA aware SMO algorithm.

Tables Icon

Table 2 Pseudo-code of the mean-MOSMO algorithm.

Tables Icon

Table 3 Pseudo-code of the adaptive-MOSMO algorithm.

Tables Icon

Table 4 The statistics of PAE distribution with different SMO methods for pattern #1

Tables Icon

Table 5 The statistics of PAE distribution with different SMO methods for pattern #2

Equations (15)

Equations on this page are rendered with MathJax. Learn more.

I = 1 J s u m x s y s ( J ( x s , y s ) p = x , y , z | H p x s y s ( B x s y s     M ) | 2 2 ) ,
H p x s y s = F - 1 { 2 π n w × C × V x s y s U P A E i x s y s } ,
D i = d ( Z i ( P A i ) , Z ˜ ) = Z i ( P A i ) Z ˜ 2 2 .
( J ^ , M ^ ) = arg     min J , M D i ( J , M ) .
Z = s i g ( I ) = 1 1 + exp [ a ( I t r ) ] ,
P A E = Z ˜ Ξ { I t r } 2 2 ,
D = i = 1 n ω i D i = i = 1 n ω i Z i ( P A i ) Z ˜ 2 2 ,
D = ω 1 D 1 + ω 2 D 2 + ω 3 D 3 + ω 6 D 6 + ω 7 D 7 + ω 8 D 8 + ω 11 D 11 + ω 12 D 12 + ω 13 D 13 .
( J ^ , M ^ ) = arg     min J , M D ( J , M ) .
D = i ω i D i .
I i ( P A i ) = 1 J s u m x s y s ( J ( x s , y s ) p = x , y , z | H p x s , y s ( P A i ) ( B M ) | 2 ) .
J D i     =     a sin Ω J J s u m 1 N × 1 T [ p = x , y , z | E p w a f e r | 2     ( Z ˜ Z )     Z     ( 1 Z ) ] 1 N × 1 ;
M D i     =     2 a sin Ω M J s u m x s y s [ J x s y s p = x , y , z Re { B x s y s * [ H p x s y s * ο Λ p ]   } ]   .
J D     =   i ω i J D i ( P A i ) ;
M D     =   i ω i M D i ( P A i ) .
Select as filters


Select Topics Cancel
© Copyright 2024 | Optica Publishing Group. All rights reserved, including rights for text and data mining and training of artificial technologies or similar technologies.