Expand this Topic clickable element to expand a topic
Skip to content
Optica Publishing Group

Enhanced direct-gap light emission from Si-capped n+-Ge epitaxial layers on Si after post-growth rapid cyclic annealing: impact of non-radiative interface recombination toward Ge/Si double heterostructure lasers

Open Access Open Access

Abstract

Enhanced direct-gap light emission is reported for Si-capped n+-Ge layers on Si after post-growth rapid cyclic annealing (RCA), and impact of non-radiative recombination (NRR) at the Ge/Si interface is discussed toward Ge/Si double heterostructure (DH) lasers. P-doped n+-Ge layer (1 × 1019 cm−3, 400 nm) is grown on Si by ultra-high vacuum chemical vapor deposition, followed by a growth of Si capping layer (5 nm) to form a Si/Ge/Si DH structure. Post-growth RCA to eliminate defects in Ge is performed in N2 at temperatures between 900°C and 780°C, where the annealing time is minimized to be 5 s in each RCA cycle to prevent an out-diffusion of P dopants from the Ge surface. Direct-gap photoluminescence (PL) intensity at 1.6 µm increases with the RCA cycles up to 40, although the threading dislocation density in Ge is not reduced after 3 cycles in the present condition. The PL enhancement is ascribed to the suppression of NRR at the Ge/Si interface, where an intermixed SiGe alloy is formed. For Ge/Si DH lasers, NRR at the Ge/Si interface is found to have a significant impact on the threshold current density Jth. In order to achieve Jth on the order of 1 kA/cm2, similar to III-V lasers, the interface recombination velocity S is required below 103 cm/s in spite of S as large as 105 cm/s at the ordinary defect-rich Ge/Si interface.

© 2017 Optical Society of America

Corrections

Naoki Higashitarumizu and Yasuhiko Ishikawa, "Enhanced direct-gap light emission from Si-capped n+-Ge epitaxial layers on Si after post-growth rapid cyclic annealing: impact of non-radiative interface recombination toward Ge/Si double heterostructure lasers: erratum," Opt. Express 26, 23796-23797 (2018)
https://opg.optica.org/oe/abstract.cfm?uri=oe-26-18-23796

Introduction

Ge has recently attracted interests in Si photonics as a material for near-infrared on-chip light sources [1] as well as photodetectors [2] and optical modulators [3]. Ge is an indirect bandgap semiconductor, while the direct gap energy at the Γ point is 0.80 eV, corresponding to the wavelength of 1.55 µm used in the optical fiber communications. There is a small energy difference of 0.14 eV in the conduction band between the direct Γ valley and the indirect L valley. Ge is regarded as a quasi-direct bandgap semiconductor when electrons are not readily relaxed from the higher Γ valley to the lower L valley. In fact, electrically-pumped lasers operating at the wavelength around 1.6 µm have been reported for Si/Ge/Si double-hetero (DH) structures, where a Ge epitaxial layer on Si substrate acts as the active layer [1,4]. Here, the direct-gap stimulated light emission is realized utilizing a heavily n-type doping (mid 1019 cm−3) in Ge to pre-fill the indirect L valley with electrons [1] along with a grown-in tensile lattice strain (~0.2%) to reduce the Γ-L energy difference [1,2]. However, the threshold current density Jth for lasing was reported to be 280 kA/cm2 in [1] and 510 kA/cm2 in [4], which are two orders of magnitude larger than the theoretical one [5] as well as those for typical III-V lasers (on the order of 1 kA/cm2). In order to reduce Jth for Ge lasers, non-radiative recombination (NRR) of carriers via defect levels is necessary to be minimized. It is known that there is a large lattice mismatch of 4% between Ge and Si, generating a high density of threading dislocations (TDs) in Ge on the order of 108–109 cm−2 [6] together with misfit dislocations at the interface. Post-growth thermal annealing at temperatures as high as 900°C (below the Ge melting point of 937°C) has been used to reduce the TD density as low as 107 cm−2 or below [6]. Such an annealing is effective to reduce NRR via TDs [1], while an out-diffusion of n-type dopants, such as P, to reduce the electron concentration significantly takes place from the Ge surface above 600°C [7–9], being an obstacle to the efficient direct-gap light emission. NRR at the surfaces/interfaces should be also one of the most important factors for the strong light emission [10–13].

In this paper, enhanced direct-gap light emission is reported for Si-capped n+(1.0 × 1019 cm−3)-Ge layers on Si after post-growth rapid cyclic annealing (RCA), and impact of NRR at the Ge/Si interface is discussed toward Ge/Si DH lasers. P-doped n+-Ge layer (400 nm in thickness) is epitaxially grown on Si substrates by ultra-high vacuum chemical vapor deposition (UHV-CVD), followed by a growth of 5-nm-thick Si capping layer to form a Si/Ge/Si DH structure. Post-growth RCA to eliminate defects in Ge is performed in N2 at temperatures between 900°C and 780°C, where the annealing time is minimized to be 5 s in each RCA cycle to prevent an out-diffusion of P dopants from the Ge surface. The Si capping layer also works as an out-diffusion barrier. Direct-gap photoluminescence (PL) intensity at 1.6 µm increases with the RCA cycles up to 40, although the TD density in Ge is not reduced after 3 cycles in the present condition. The PL enhancement is ascribed to the suppression of NRR at the Ge/Si interface, where an intermixed SiGe alloy is formed. For Ge/Si DH lasers, NRR at the Ge/Si interface is found to have a significant impact on Jth. In order to achieve Jth on the order of 1 kA/cm2, similar to III-V lasers, the interface recombination velocity S is required below 103 cm/s in spite of S as large as 105 cm/s at the ordinary Ge/Si interface with a high density of misfit dislocations.

2. Experimental

2.1 Growth conditions

Ge epitaxial layers were grown on 6-inch B-doped p-Si (001) wafers (10 Ωcm) by UHV-CVD using the source gas of GeH4 (9% in Ar). First, a ~50-nm-thick undoped Ge buffer layer was grown at a low temperature of 370°C [6], followed by a growth of undoped Ge layer at an elevated temperature of 600°C. The total thickness of undoped Ge layer was 100 nm. Then, a P-doped n+-Ge layer with the thickness of 400 nm was grown at 600°C. PH3 (5% in H2) was used as the dopant source. P atoms have been widely used as the n-type dopants in terms of larger solid solubility and smaller diffusivity than those for other n-type dopants such as As and Sb [14,15]. Figure 1 shows the electron concentration in P-doped n+-Ge layers as a function of growth temperature. The concentrations were determined by the Hall effect measurements at room temperature (RT). Similar to [8], the electron concentration was almost saturated to be 1–2 × 1019 cm−3 in the temperature range of 500–600°C even when the PH3 supply was increased, while the concentration was reduced above 600°C. The reduction above 600°C is ascribed to the out-diffusion of P dopants from the surface during the growth [8]. Such an out-diffusion also occurs in the post-growth RCA process, as described later. For the present n+-Ge layers grown at 600°C, the electron concentration was 1.0 ± 0.1 × 1019 cm−3. According to the secondary ion mass spectroscopy (SIMS), the P concentration agreed well with the electron concentration determined by the Hall effect measurement, meaning that the P atoms were substantially activated during the growth.

 figure: Fig. 1

Fig. 1 Electron concentration versus growth temperature.

Download Full Size | PDF

Subsequently after the n+-Ge growth, an undoped Si capping layer as thin as 5 nm was grown at 600°C in the same UHV-CVD chamber using Si2H6 (10% in Ar) as the source gas, forming a Si/Ge/Si DH structure. Atomic force microscope (AFM) observations indicated that an atomically flat surface was realized even after the growth of ultrathin Si capping layer, as shown in the next section. Such a Si capping layer is often used to cover the Ge surface to improve the chemical stability, and the Si capping layer has been found in our recent studies (unpublished) to be effective for preventing the out-diffusion of P dopants from the surface in comparison with, e.g., a conventional out-diffusion barrier of sputtered SiNx. The ultrathin Si capping layer is also effective to obtain the PL spectra from the n+-Ge layers, since electrons and holes are generated mostly in the n+-Ge layers, while the generation in the Si capping layer is negligibly small. As a reference, an uncapped n+-Ge layer was also prepared.

It is mentioned that, according to x-ray diffraction (XRD) measurements, the compressive strain in the n+-Ge layer due to the lattice mismatch with the Si substrate was mostly relaxed, resulting from the formation of TDs as well as the interface misfit dislocations, while a tensile strain as large as 0.1% was generated due to the thermal expansion mismatch between Ge and Si [2]. On the other hand, the 5-nm-thick Si capping layer was highly tensile-strained with the amount as large as 3.6% according to the Raman spectroscopy shown below. The critical thickness of Si layer on Ge is a few nm, being comparable to the thickness of Si capping layer used in this study. Although the strain due to the lattice mismatch (4.2%) seems to be partially relaxed, a pseudomorphic/layer-by-layer growth of Si on Ge basically took place in this study, leading to an atomically flat surface, as confirmed by the AFM observations.

2.2 RCA conditions

A post-growth cyclic annealing (CA) in N2 atmosphere was carried out to reduce the TD density in n+-Ge. Similar to [6], the temperatures were changed between 900°C and 780°C. Prior to CA, the 6-inch Ge-on-Si wafers were diced into ~1-cm-square chips. Before loading into the CA chamber, the surface was treated in a 0.5%-HF solution to remove the native oxide, followed by a rinse in deionized water. In the quarts chamber for CA, the chip was placed on a 4-inch Si wafer. The temperature was monitored with a thermocouple attached on the back surface of the 4-inch wafer. In the present study, the annealing time at 900°C was minimized, i.e., rapid CA (or RCA) was performed to suppress an out-diffusion of P dopants from the surface. Figure 2 shows a typical time evolution of the temperature monitored during an RCA process. The ramp-up and ramp-down rates between 780°C ( ± 20°C) and 900°C ( ± 20°C) were typically 150°C/sec and 30°C/sec, respectively, realizing a spike-like annealing at 900°C. One cycle of annealing (780–900–780°C) took a time as short as 5 s. The number of cycles was changed as a parameter (up to 50 cycles).

 figure: Fig. 2

Fig. 2 Typical temperature profile in RCA (10 cycles).

Download Full Size | PDF

Figure 3 shows typical AFM images taken after 1, 5, and 50 cycles of RCA for the Si-capped samples. The surfaces were atomically flat, and the rms surface roughness was as small as 0.2 nm for all the Si-capped samples. The small roughness originates from atomic steps and two-dimensional islands. For the uncapped samples (not shown), on the other hand, small holes with the width/depth of ~100 nm were often observed after RCA, although the mirror surface was almost maintained on the macroscopic scale. Such holes were probably formed by the etching of Ge layer due to the volatile GeO formation, resulting from the reaction of Ge with residual oxygen gas in the RCA chamber.

 figure: Fig. 3

Fig. 3 Typical AFM images (2 µm × 2 µm) taken for Si-capped n+-Ge samples after (a) 1 cycle, (b) 5 cycles, and (c) 50 cycles of RCA.

Download Full Size | PDF

2.3 Structural and electrical characterizations

The TD densities were estimated using XRD measurements. The (004) diffraction peak from the n+-Ge (001) layer was measured with the Cu radiation (0.154056 nm) as the x-ray source. Higher quality of Ge shows a diffraction peak with a smaller full-width at half maximum (FWHM). Quantitatively, the TD density ρ is expressed as [16]

ρ=β2/(9b2),
where β is FWHM in the rocking curve (ω scan), and b is the absolute value of Burgers vector. It is mentioned that the TD density was slightly underestimated by the XRD measurement in the present experiments; for undoped Ge layers, the TD density from Eq. (1) showed approximately one third of the density determined by the etch-pit counting method using a solution of CH3COOH/HNO3/HF/I2 mixture [6]. However, the etch-pit counting was not applicable to n+-Ge, because the etch pits were not clearly formed. A high density of free electrons in n+-Ge probably prevented the preferential chemical reaction around TDs required for the pit formation.

The Hall effect measurement at RT was used to determine the loss of P dopants in the Ge layer due to the out-diffusion from the surface during RCA. The difference in the sheet electron concentrations before and after RCA corresponds to the loss of P dopants from the surface, taking into account that the P diffusion also occurs toward the underlying undoped Ge layer. The initial sheet electron concentration was 4.0 × 1014 cm−2, corresponding to the electron concentration per unit volume of 1.0 × 1019 cm−3 in the P-doped n+-Ge layer (400 nm).

Micro-Raman spectra were measured to evaluate the formation of SiGe alloy at the Si/Ge interfaces due to an inter-diffusion between Si and Ge during RCA. As discussed later, such a SiGe formation plays an important role for NRR at the Ge/Si interface. The spectra were measured at RT using an excitation laser source with the wavelength of 457 nm and a Si detector. The laser power and the nominal 1/e2 spot diameter on the sample surface were 3.5 ± 0.2 mW and 1 µm, respectively. The 1/e penetration depths in Si and Ge are approximately 500 nm and 20 nm, respectively [17,18], indicating that the laser light easily penetrates the 5-nm-thick Si capping layer and reaches at the upper region of Ge layer beyond the top Si/Ge interface.

2.4 PL characterizations

Micro-PL spectra in the wavelength range of 1.2–2.0 µm were measured at RT using an extended InGaAs detector. A 785-nm laser was used as the excitation source. The laser power and the nominal 1/e2 spot diameter on the sample surface were 3.5 ± 0.2 mW and 2 µm, respectively. The 1/e penetration depths in Si and Ge are approximately 10 µm and 100 nm, respectively [17,18]. Another laser source with the wavelength of 457 nm (3.5 ± 0.2 mW and 2 µm in diameter) was also used for comparison. Based on the difference in the penetration depth between 785 nm and 457 nm, NRR at the top Ge/Si interface will be discussed.

Prior to the PL measurements, all the samples were treated in a 0.5%-HF solution to remove the surface oxide formed after RCA, followed by a rinse in deionized water. The samples were exposed to air for a few days before the PL measurements to stabilize the surface oxidation condition. In fact, the PL intensity was well reproduced even for the measurements performed in different days (deviation within 10%).

Figure 4 shows typical PL spectra for undoped Ge and n+-Ge (1 × 1019 cm−3) layers without RCA. There was no Si capping layer in this case. The direct-gap emission was observed for both of the undoped Ge and n+-Ge layers, where the peak wavelength was longer than 1.55 µm, or smaller in energy than the direct-gap energy of 0.80 eV for the unstrained bulk Ge. This red shift is primarily ascribed to the grown-in biaxial strain in the Ge layer as large as 0.1%, which reduces the direct bandgap [2]. For the n+-Ge layer, a larger red shift to ~1.6 µm was observed probably due to the bandgap narrowing induced by the heavily n-type doping [19]. It is important that the peak intensity for the n+-Ge layer was four times larger than that for the undoped one, being in good agreement with previous reports on the PL intensity enhanced by a heavily n-type doping [20,21].

 figure: Fig. 4

Fig. 4 Typical PL spectra for undoped and n+-Ge layers.

Download Full Size | PDF

3. Experimental results

3.1 TD density and electron concentration after RCA

Figure 5(a) shows typical (004) diffraction peaks in the XRD rocking curves for the Si-capped n+-Ge layers before and after 3 cycles of RCA. The peak width was clearly decreased after RCA, corresponding to the reduction in the TD density. According to Eq. (1), the TD densities before and after RCA were obtained to be 1.9 × 108 cm−2 and 1.0 × 108 cm−2, respectively. Figure 5(b) shows the TD densities as a function of RCA cycles for the Si-capped n+-Ge layer and for the uncapped n+-Ge reference. Independent of the presence/absence of capping layer, the TD density rapidly decreased after a few cycles of RCA, while the density was not reduced after 3 cycles, being almost saturated at 1.0 × 108 cm−2. It should be mentioned that, as indicated by the dashed line in Fig. 5(b), the saturation behavior cannot be explained by a model that two TDs, having the Burgers vectors oppositely directed each other, are annihilated when they approach into a certain distance [22], i.e.,

dρ/dN=Kρ2,orρ=ρ0/(1+Kρ0N).
Here, ρ is the TD density, ρ0 is the TD density before RCA, N is the number of RCA cycles, and K is a constant. The saturation after 3 cycles might be related to the non-uniformity in the initial spatial distribution of TDs; bundles of TDs were often formed in a small area [6,23]. For such bundled TDs, annihilations could rapidly take place within a few cycles of RCA, but for non-bundled TDs, an annealing time as long as a few minutes, as in [6], would be necessary to migrate longer distance for the annihilation.

 figure: Fig. 5

Fig. 5 (a) Normalized Ge (004) peaks in XRD ω scan for Si-capped n+-Ge before and after 3 cycles of RCA, (b) TD density estimated from XRD and (c) loss of electrons/P-dopants as a function of RCA cycles. In (b) and (c), the results for Si-capped and uncapped n+-Ge are shown with the fitting curves indicated by the dashed lines.

Download Full Size | PDF

The electron concentration, on the other hand, gradually decreased with the RCA cycles even after 3 cycles, which is attributed to the out-diffusion of P dopants, as in [7–9]. Figure 5(c) shows the percentage of loss in the electron concentration/P dopants as a function of RCA cycles for the Si-capped and uncapped n+-Ge layers. The electron/P dopant loss of, e.g., 10% for the Si-capped n+-Ge layer after 3 cycles of RCA means that the sheet electron concentration was reduced from 4.0 × 1014 cm−2 to 3.6 × 1014 cm−2, corresponding to the reduction of electron concentration per unit volume from 1.0 × 1019 cm−3 to approximately 0.9 × 1019 cm−3. The dashed lines in Fig. 5(c) shows fitting curves obtained by solving the diffusion equation for P dopants, taking account of the out-diffusion of P atoms from the surface. The changes in the electron/P-dopant loss were well reproduced, assuming smaller out-diffusion velocity for the Si-capped layer than that for the uncapped one.

In order to maximize the light emission intensity from n+-Ge, 3–5 cycles of RCA should be preferable in the present study in terms of the tradeoff between the electron concentration and the TD density.

3.2 Raman spectra

Prior to the results for the samples after RCA, the Raman spectrum before RCA is shown in order to understand the crystalline quality and the strain in the Si capping layer. Figure 6 shows a typical Raman spectrum before RCA for the Si (5 nm)-capped n+-Ge layer together with the spectra for a Si-capped Ge layer with an increased Si thickness of 20 nm and for a bulk Si wafer. For the sample with the 20-nm-thick Si capping layer, two peaks were clearly observed at 300 cm−1 and 516 cm−1, which are ascribed to the Ge-Ge bonding in the Ge layer and the Si-Si bonding in the Si capping layer, respectively. No Si-Si peak at 520 cm−1 from the bottom Si substrate was detected, since the excitation laser light was not able to penetrate into the Si substrate through the overlying Ge layer. The 20-nm-thick Si capping layer should be crystalline, taking into account that the Si-Si peak for the bulk Si is located at a similar position of 520 cm−1. The Si layer should be mostly relaxed on the Ge layer, while the negative peak shift of 4 cm−1 suggests that the Si layer was not fully relaxed, but a tensile strain as small as 0.5% remained [24]. The peak width for the 20-nm-capping layer was larger than that for the bulk Si, indicating that the crystalline quality was not comparable to the bulk Si due to the presence of defects induced by the strain relaxation. A cross-sectional transmission microscopy for a Si-capped sample (50 nm thick, not shown) indicated that the Si capping layer was epitaxially grown on Ge, while there exist a plenty of TDs. The 5-nm-thick Si capping layer should be also crystalline, while in the Raman spectrum, a small Si-Si peak was observed at around 490 cm−1, as indicated by the arrow in Fig. 6. The large negative peak shift of ~30 cm−1, compared to that for the bulk Si, corresponds to the presence of tensile strain as large as 3.6%. Such a large strain should be derived from the lattice mismatch between Ge and Si, since the observed strain of 3.6% is comparable to the lattice mismatch of 4.2%. The atomically flat surface observed in Fig. 3 is explained by a pseudomorphic/layer-by-layer growth of Si on Ge. The broad Si-Si peak is ascribed to the defects in the Si capping layer, since the Si layer was not fully strained, but partially relaxed.

 figure: Fig. 6

Fig. 6 Typical Raman spectra for Si-capped Ge. Two different thicknesses of Si capping layer, 5 nm and 20 nm, are compared. As a reference, a Raman spectrum for bulk Si is also shown.

Download Full Size | PDF

Next, effects of RCA on the Raman spectra were compared between the uncapped and Si-capped n+-Ge layers in order to investigate the structural difference near the surface. Figures 7(a) and 7(b) show typical Raman spectra for the uncapped and Si-capped n+-Ge layers, respectively. In each figure, the data for the RCA cycles of 0 (as grown), 3, 10, 40, and 50 are plotted as well as those for bulk Ge and bulk Si references at the bottom. For the uncapped n+-Ge layer in Fig. 7(a), there exists only one peak at ~300 cm−1, corresponding to the Ge-Ge bonding in the Ge layer.

 figure: Fig. 7

Fig. 7 Typical Raman spectra for (a) uncapped n+-Ge and (b) Si-capped n+-Ge. The number of RCA cycles was changed up to 50. Raman spectra for bulk Ge and bulk Si are also shown as the references at the bottom of each figure.

Download Full Size | PDF

As to the Si-capped Ge layer in Fig. 7(b), as explained above, a small peak due to the Si-Si bonding in the Si capping layer is seen before RCA at ~490 cm−1 in addition to the Ge-Ge peak at ~300 cm−1. After 3 cycles of RCA, the Si-Si peak almost disappeared. Instead, a peak appeared at ~380 cm−1. This peak is ascribed to the Si-Ge bonding [24], indicating that a SiGe alloy was formed at the surface due to the intermixing between the Ge layer and the Si capping layer. The intensity of Si-Ge peak was increased up to ~10 cycles of RCA, while the intensity was decreased with further increasing the RCA cycles, suggesting that the Si atoms diffused deeper into the Ge layer. Such an alloying should be also generated at the bottom interface with the Si substrate.

3.3 PL intensity

Figures 8(a) and 8(b) show typical PL spectra for the uncapped and Si-capped n+-Ge layers, respectively, obtained under the 785-nm laser excitation. In each figure, the data are shown for the RCA cycles of 0 (as-grown), 3, 10, 40, and 50. For the uncapped Ge layer in Fig. 8(a), the maximum PL intensity was obtained for 3 cycles of RCA, and a reduction was observed after 3 cycles. This behavior is in good agreement with the results that the electron concentration was continuously reduced with the RCA cycles in spite of the constant TD density after 3 cycles. For the Si-capped Ge layer, the PL intensity before RCA was smaller than that from the uncapped Ge layer by ~40%, probably resulting from an enhanced NRR at the surface or the top interface of defect-rich Si/Ge. After RCA, contrary to the uncapped n+-Ge layer, the PL intensity was increased up to 40 cycles, as in Fig. 8(b). The maximum PL intensity for 40 cycles was almost two times larger than that for the uncapped n+-Ge layer. These results suggest that the PL intensity was dominated in the present experiments by not only NRR in the n+-Ge layer but also NRR at the surface/interface. It should be mentioned that the PL intensity was degraded for the RCA cycles further increased to 50. This is probably due to the wider-gap SiGe formation as well as larger dopant loss. For SiGe, the indirect-direct energy difference in the conduction band is significantly increased (more than 10 meV per Si composition of 1%). In Fig. 9, a calculated result of electron concentration in the Γ valley, nΓ, is shown as a function of Si content in the Ge-rich n+-SiGe alloy (unstrained), where the total electron concentration is fixed to be 1 × 1019 cm−3. It is found that nΓ decreases more than one order of magnitude even when the Si content is as small as 3%. For the Si-capped Ge layer after 50 cycles of RCA, the Si content is estimated to be ~10% [25] according to the Si-Ge peak position in the Raman spectrum in Fig. 7(b). Although the penetration depths are different between the 457-nm laser in the Raman measurements (~20 nm) and the 785-nm laser in the PL measurements (~100 nm), the significant reduction of nΓ should occur in the PL measurement as a result of the SiGe formation. This should be primarily responsible for the decrease in the PL intensity after 50 cycles of RCA.

 figure: Fig. 8

Fig. 8 Typical PL spectra for (a) uncapped n+-Ge and (b) Si-capped n+-Ge measured with a 785-nm excitation laser. The number of RCA cycles was changed up to 50.

Download Full Size | PDF

 figure: Fig. 9

Fig. 9 Electron concentration in the Γ valley as a function of Si content in unstrained SiGe. The total electron concentration is assumed to be 1 × 1019 cm−3.

Download Full Size | PDF

In order to further examine the effect of NRR at the surface, PL spectra were measured for the Si-capped n+-Ge layer using an excitation laser light with the shorter wavelength of 457 nm. Since the penetration depth for 457 nm is smaller than that for 785 nm, a larger PL enhancement should be obtained for the 457-nm excitation if NRR was suppressed at the top Ge/Si interface with the RCA cycles. Figures 10(a) and 10(b) show typical PL spectra obtained under the 457-nm and 785-nm excitations, respectively. In each figure, the PL intensity was normalized by the peak intensity for 3 cycles of RCA, where the TD density reached at the minimum value of ~1 × 108 cm−2. Although the PL intensity itself was smaller for the 457-nm excitation, as confirmed from the difference in the signal-to-noise ratio in the spectra, larger enhancements in the PL intensity were obtained for the 457-nm excitation. Figure 10(c) shows a plot of direct-gap PL intensity integrated up to 1.7 µm as a function of RCA cycles. For the 457-nm excitation, the maximum enhancement by ~80% was obtained in the integrated PL intensity, which is larger than that for the 785-nm excitation (60%), reflecting the suppression of NRR at the top Ge/Si interface with the RCA cycles. Such a difference between the 457-nm and 785-nm excitations was not observed for the uncapped n+-Ge layers.

 figure: Fig. 10

Fig. 10 Normalized PL spectra from Si-capped n+-Ge measured with different excitation wavelength: (a) 457 nm and (b) 785 nm, and (c) PL enhancement as a function of the number of RCA cycles. In (a) and (b), the vertical axes are normalized with the peak intensities for 3 cycles of RCA.

Download Full Size | PDF

4. Discussions

4.1 Estimation of surface recombination velocity

An enhancement of direct-gap PL intensity was observed for the Si-capped n+-Ge layer up to 40 cycles of RCA. The enhancement is ascribed to the suppression of NRR at the Ge/Si interface, being related to the formation of SiGe alloy. The gap states would be reduced around the defect-rich Ge/Si interface via the alloying. In this section, the surface recombination velocity S is estimated based on the difference in the PL enhancement between the 457-nm and 785-nm excitations, as observed in Fig. 10.

S is typically as large as 105 cm/s for bare (uncapped) surfaces of epitaxial layers including Ge-on-Si [13]. Theoretically, S is expressed as

S=vtNSσ,
where vt is the thermal velocity of carriers, Ns is the areal density of interface recombination centers, and σ is the capture cross section (~10−15 cm−2). In the case of lattice-mismatched hetero-interface such as InxGa1-xP/GaAs [26,27], Ns is expressed as
NS=C×Δa/a03,
where Δa is the difference in the lattice constant, a0 is the mean lattice constant between two crystals, and C is a constant depending on the crystalline structure and orientation [28]. For the (001) Ge/Si interface with the 4.2% lattice mismatch, C=8 and Δa/a03=1.3×1013cm2, leading to NS=1.0×1014cm2. Therefore, Eq. (3) gives S more than mid 105 cm/s at the (001) Ge/Si interface.

Based on the PL results, S was estimated as follows. Firstly, the depth profiles of hole concentration p(x) were determined for each of the 457-nm and 785-nm excitations by solving the continuity equation assuming several different surface recombination velocities as well as several different recombination lifetimes τ in Ge. The interface recombination at the bottom Ge/Si interface (500 nm in depth) was taken into account, where the interface recombination velocity was assumed to be the same as that at the top interface. The incident photon flux density, to determine the carrier generation rate, was approximately given by the incident laser power divided by the energy of an incident photon and the area of laser spot. Although the in-plane laser intensity was not uniform but distributed with a Gaussian shape, the area was defined as πd2/4, where d is the 1/e2 diameter of laser spot on the surface (2 µm). The incident photon flux density is 1.7 times larger for the 785-nm excitation under the same power of incidence (3.5 mW). The reflectance at the surface is approximately 40% for both of the excitation wavelengths [29]. The hole diffusivity used in the calculation was derived from the Einstein relation using the hole mobility in [30].

An example of calculated p(x) is shown in Fig. 11 for τ = 0.1 ns. Note that, for the TD density of 108 cm−2, τ in Ge is estimated to be on the order of 0.1 ns [31], which almost agrees with the lifetime estimated for the carrier generation via defects in the reverse-biased pin photodiodes of Ge (several 100 nm in thickness) on Si showing the dark current of ~20 mA/cm2 [23]. As in Fig. 11, except for p(x) around the top Ge/Si interface (x = 0 nm) and the bottom interface (x = 500 nm) having the recombination centers, the obtained p(x) decreases in depth, reflecting the exponential decay in the carrier generation rate/optical absorption. p(x) for the 785-nm excitation was larger than that for the 457-nm excitation due to the larger photon flux density. In the case of longer τ, because of an increased diffusion length for excited carriers, the decay of p(x) in depth disappears, approaching to a uniform depth profile with an increased value of p(x).

 figure: Fig. 11

Fig. 11 Calculated depth profiles of hole concentration for several different S. (a) for 457 nm excitation and (b) for 785 nm excitation. The hole lifetime in Ge is assumed to be 0.1 ns.

Download Full Size | PDF

Then, the PL intensity Iλ for the excitation wavelength λ was calculated using

Iλ=0dGeBΓ[nΓ(x)p(x)ni2]exp(αx)dx,
where dGe is the thickness of Ge layer, BΓ is the radiative recombination coefficient for the direct transition (1.3 × 10−10 cm3/s in Ge [5]), nΓ(x) is the electron concentration in the Γ valley, ni is the intrinsic carrier concentration, and α is the absorption coefficient at the PL wavelength (2 × 104 cm−1 at 1.55 µm [17]). The exponential term in Eq. (5) expresses the self-absorption of PL in the Ge layer before reaching at the surface. For τ ~0.1 ns, nΓ in the tensile (0.1%) strained Ge is almost a constant value of 3 × 1015 cm−3 determined by the doping concentration of 1 × 1019 cm−3, which is much larger than the concentration of < 1018 cm−3 excited by the laser illumination, although, for the increased τ more than ~1 ns, the effect of electrons excited by the laser illumination cannot be neglected. Figure 12(a) shows the calculated ratio of PL intensity I785/I457 as a function of S for several different τ in the Ge layer. For τ = 0.1 ns, the ratio I785/I457 increases from 1.7 at S < ~104 cm/s to 2.8 at S = 106 cm/s. If τ is increased more than 1 ns, I785/I457 increases even for S < ~105 cm/s, reflecting that the electron concentration in the Γ valley is significantly increased for the 785-nm excitation in the present excitation condition. Figure 12(b) shows the experimental I785/I457 as a function of the number of RCA cycles, determined from the PL results in Figs. 10(a) and 10(b). Assuming τ ~0.1 ns in the Ge layer, the S value before RCA is found to be as large as mid 105 cm/s, being in good agreement with the one estimated from Eqs. (3) and (4). On the other hand, after RCA, S is found to be reduced as small as 2 × 105 cm/s. Such a reduction of S should be responsible for the observed PL enhancement. However, further reduction as small as 103 cm/s or below should be important for the laser applications, as discussed in the next section.

 figure: Fig. 12

Fig. 12 (a) Calculated I785/I457 as a function of S for different lifetime in Ge of 0.1 ns, 1 ns, and 10 ns, and (b) measured I785/I457 for Si-capped n+-Ge as a function of the number of RCA cycles.

Download Full Size | PDF

4.2 Impact of surface recombination velocity on Jth for Ge/Si DH lasers

The impact of NRR at the interface is discussed on Jth for Ge/Si DH lasers. Theoretical values of Jth were reported previously; Liu et al. reported Jth = 5.8 kA/cm2 for n-Si/n-Ge/p-Si DH structures with the donor concentration of 7.6 × 1019 cm−3 and the tensile strain of 0.25% in n-Ge [5], and Prost et al. reported Jth < 10 kA/cm2 for DH micro-disks with the donor concentration of 5.0 × 1019 cm−3 and the tensile strain of 0.70% [32]. However, the experimentally obtained Jth was 280 kA/cm2 in [1] and 510 kA/cm2 in [4], which are two orders of magnitude larger than the theoretical ones. One possible reason to explain this discrepancy is that, in the theoretical calculations, the effect of NRR at the Ge/Si interfaces was completely neglected. In fact, it is found that NRR at the Ge/Si interfaces plays a significant role for Jth, as described below.

The excess amount of Jth induced due to the interface recombination, ΔJth, is expressed as [33]

ΔJth=qdGe(A/V)pthS,
where A is the area of Si/Ge interface, V is the volume of n-Ge active layer, and is the threshold of minority carrier (hole) concentration. Here, the diffusion length of minority holes (on the order of 100 nm) was assumed to be larger than the thickness of n-Ge active layer dGe. Figure 13(a) shows Jth calculated for typical n-Si/n-Ge/p-Si DH laser structure [5] as a function of the interface recombination velocity S. Here, Pth was assumed to be 7 × 1018 cm−3, as suggested in [5], and several different values of lifetime τ in the n+-Ge layer (0.1–100 ns) were assumed. It is found that the interface recombination dominates Jth in the case of S larger than 105 cm/s, leading to Jth more than 100 kA/cm2 even for high-quality Ge with τ as long as 100 ns. On the other hand, Jth can be reduced on the order of 1 kA/cm2 for S as small as 103 cm/s or below, where τ in the n+-Ge layer plays a dominant role for Jth. Therefore, it is concluded that NRR at the interface is necessary to be eliminated toward the low-threshold lasing. However, as schematically shown in Fig. 13(b), NRR centers of defects/dislocations are inevitably generated at the Ge/Si interface with a high density due to the 4% lattice mismatch. The reduction/passivation of defects/dislocations at the Ge/Si interface is one of the critical issues to realize the low-threshold lasing from the Ge/Si DH structures.

 figure: Fig. 13

Fig. 13 (a) Calculated Jth as a function of interface recombination velocity S for several different lifetimes in Ge of 0.1–100 ns, and (b) schematic illustration showing the presence of high density of defects/dislocations at the Ge/Si interface due to the 4% lattice mismatch between Ge and Si.

Download Full Size | PDF

5. Conclusions

Enhanced direct-gap light emission and impact of non-radiative surface/interface recombination were reported for Si-capped n+-Ge layers on Si after post-growth RCA. The observed PL enhancement was explained by the suppression of NRR at the Ge/Si interface due to the formation of SiGe alloy. For Ge DH lasers on Si, NRR at the Ge/Si interface was found to have a significant impact on Jth. In order to achieve Jth on the order of 1 kA/cm2, similar to III-V lasers, the interface recombination velocity S is required below 103 cm/s in spite of S of 105–106 cm/s at the ordinary Ge/Si interface.

Funding

National Institute of Information and Communication Technology (NICT) (1810105)

Acknowledgments

The authors would like to thank Prof. K. Wada, Dr. J. Osaka, Dr. N. Kawai, Prof. A. Toriumi, and Dr. T. Nishimura of the University of Tokyo for their supports and valuable discussions.

References and links

1. R. E. Camacho-Aguilera, Y. Cai, N. Patel, J. T. Bessette, M. Romagnoli, L. C. Kimerling, and J. Michel, “An electrically pumped germanium laser,” Opt. Express 20(10), 11316–11320 (2012). [CrossRef]   [PubMed]  

2. Y. Ishikawa, K. Wada, D. D. Cannon, J. Liu, H.-C. Luan, and L. C. Kimerling, “Strain-induced band gap shrinkage in Ge grown on Si substrate,” Appl. Phys. Lett. 82(13), 2044–2046 (2003). [CrossRef]  

3. J. Liu, M. Beals, A. Pomerene, S. Bernardis, R. Sun, J. Cheng, L. C. Kimerling, and J. Michel, “Waveguide-integrated, ultralow-energy GeSi electro-absorption modulators,” Nat. Photonics 2(7), 433–437 (2008). [CrossRef]  

4. R. Koerner, M. Oehme, M. Gollhofer, M. Schmid, K. Kostecki, S. Bechler, D. Widmann, E. Kasper, and J. Schulze, “Electrically pumped lasing from Ge Fabry-Perot resonators on Si,” Opt. Express 23(11), 14815–14822 (2015). [CrossRef]   [PubMed]  

5. J. Liu, X. Sun, D. Pan, X. Wang, L. C. Kimerling, T. L. Koch, and J. Michel, “Tensile-strained, n-type Ge as a gain medium for monolithic laser integration on Si,” Opt. Express 15(18), 11272–11277 (2007). [CrossRef]   [PubMed]  

6. H.-C. Luan, D. R. Lim, K. K. Lee, K. M. Chen, J. G. Sandland, K. Wada, and L. C. Kimerling, “High-quality Ge epilayers on Si with low threading-dislocation densities,” Appl. Phys. Lett. 75(19), 2909–2911 (1999). [CrossRef]  

7. M. S. Carroll and R. Koudelka, “Accurate modelling of average phosphorus diffusivities in germanium after long thermal anneals: evidence of implant damage enhanced diffusivities,” Semicond. Sci. Technol. 22(1), S164–S167 (2007). [CrossRef]  

8. J. Liu, R. Camacho-Aguilera, J. T. Bessette, X. Sun, X. Wang, Y. Cai, L. C. Kimerling, and J. Michel, “Ge-on-Si optoelectronics,” Thin Solid Films 520(8), 3354–3360 (2012). [CrossRef]  

9. K. Oda, T. Okumura, K. Tani, T. Ido, S. Kako, S. Iwamoto, and Y. Arakawa, “Improvement of photoluminescence from Ge waveguides fabricated by low temperature selective epitaxial growth and rapid thermal annealing,” in Proceedings IEEE 10th International Conference on Group IV Photonics (IEEE, 2013), pp. 97–98. [CrossRef]  

10. Y.-Y. Chen, H.-C. Chang, Y.-H. Chi, C.-H. Huang, and C. W. Liu, “GeO2 Passivation for Low Surface Recombination Velocity on Ge Surface,” IEEE Electron Device Lett. 34(3), 444–446 (2013). [CrossRef]  

11. A. Delabie, F. Bellenger, M. Houssa, T. Conard, S. Van Elshocht, M. Caymax, M. Heyns, and M. Meuris, “Effective electrical passivation of Ge(100) for high-k gate dielectric layers using germanium oxide,” Appl. Phys. Lett. 91(8), 082904 (2007). [CrossRef]  

12. H. Matsubara, T. Sasada, M. Takenaka, and S. Takagi, “Evidence of low interface trap density in GeO2/Ge metal-oxide-semiconductor structures fabricated by thermal oxidation,” Appl. Phys. Lett. 93(3), 032104 (2008). [CrossRef]  

13. R. Geiger, J. Frigerio, M. J. Süess, R. A. Minamisawa, D. Chrastina, G. Isella, R. Spolenak, J. Faist, and H. Sigg, “Excess carrier lifetimes in Ge layers on Si,” Appl. Phys. Lett. 104(6), 062106 (2014). [CrossRef]  

14. O. Madelung, Physics of Group IV Elements and III–V Compounds (Springer, 1982).

15. C. Claeys and E. Simoen, Germanium-Based Technology from Material to Device (Elsevier, 2007).

16. J. E. Ayers, “The measurement of threading dislocation densities in semiconductor crystals by X-ray diffraction,” J. Cryst. Growth 135(1–2), 71–77 (1994). [CrossRef]  

17. H. R. Philipp and E. A. Taft, “Optical constants of germanium in the region 1 to 10 eV,” Phys. Rev. 113(4), 1002–1005 (1959). [CrossRef]  

18. M. A. Green, “Self-consistent optical parameters of intrinsic silicon at 300 K including temperature coefficients,” Sol. Energy Mater. Sol. Cells 92(11), 1305–1310 (2008). [CrossRef]  

19. C. Haas, “Infrared absorption in heavily doped n-type germanium,” Phys. Rev. 125(6), 1965–1971 (1962). [CrossRef]  

20. X. Sun, J. Liu, L. C. Kimerling, and J. Michel, “Direct gap photoluminescence of n-type tensile-strained Ge-on-Si,” Appl. Phys. Lett. 95(1), 011911 (2009). [CrossRef]  

21. M. El Kurdi, T. Kociniewski, T. P. Ngo, J. Boulmer, D. Debarre, P. Boucaud, J. F. Damlencourt, O. Kermarrec, and D. Bensahel, “Enhanced photoluminescence of heavily n-doped germanium,” Appl. Phys. Lett. 94(19), 191107 (2009). [CrossRef]  

22. A. E. Romanov, W. Pompe, G. Beltz, and J. S. Speck, “Modeling of Threading Dislocation Density Reduction in Heteroepitaxial Layers I. Geometry and Crystallography,” Phys. Status Solidi 198(2), 599–613 (1996). [CrossRef]  

23. Y. Ishikawa and S. Saito, “Ge-on-Si photonic devices for photonic-electronic integration on a Si platform,” IEICE Electron. Express 11(24), 1–17 (2014). [CrossRef]  

24. T. S. Perova, J. Wasyluk, K. Lyutovich, E. Kasper, M. Oehme, K. Rode, and A. Waldron, “Composition and strain in thin Si1-xGex virtual substrates measured by micro-Raman spectroscopy and x-ray diffraction,” J. Appl. Phys. 109(3), 033502 (2011). [CrossRef]  

25. O. Pagès, J. Souhabi, V. J. B. Torres, A. V. Postnikov, and K. C. Rustagi, “Re-examination of the SiGe Raman spectra: Percolation/one-dimensional-cluster scheme and ab initio calculations,” Phys. Rev. B 86(4), 045201 (2012). [CrossRef]  

26. C. J. Nuese, “III-V alloys for optoerectronic applications,” J. Electron. Mater. 6(3), 253–293 (1977). [CrossRef]  

27. M. Ettenberg and G. H. Olsen, “The recombination properties of lattice-mismatched InxGa1-xP/GaAs heterojunctions,” J. Appl. Phys. 48(10), 4275–4280 (1977). [CrossRef]  

28. H. Kressel, “The application of heterojunction structures to optical devices,” J. Electron. Mater. 4(5), 1081–1141 (1975). [CrossRef]  

29. M. Cardona, K. L. Shaklee, and F. H. Pollak, “Electroreflectance at a semiconductor-electrolyte interface,” Phys. Rev. 154(3), 696–720 (1967). [CrossRef]  

30. S. M. Sze and K. K. Ng, Physics of Semiconductor Devices (John Wiley & Sons, 2007).

31. M. Yamaguchi and C. Amano, “Efficiency calculations of thin-film GaAs solar cells on Si substrates,” J. Appl. Phys. 58(9), 3601–3606 (1985). [CrossRef]  

32. M. Prost, M. El Kurdi, A. Ghrib, S. Sauvage, X. Checoury, N. Zerounian, F. Aniel, G. Beaudoin, I. Sagnes, F. Boeuf, and P. Boucaud, “Tensile-strained germanium microdisk electroluminescence,” Opt. Express 23(5), 6722–6730 (2015). [CrossRef]   [PubMed]  

33. L. A. Coldren, S. W. Corzine, and M. L. Masanovic, Diode Lasers and Photonic Integrated Circuits (John Wiley & Sons, 2012).

Cited By

Optica participates in Crossref's Cited-By Linking service. Citing articles from Optica Publishing Group journals and other participating publishers are listed here.

Alert me when this article is cited.


Figures (13)

Fig. 1
Fig. 1 Electron concentration versus growth temperature.
Fig. 2
Fig. 2 Typical temperature profile in RCA (10 cycles).
Fig. 3
Fig. 3 Typical AFM images (2 µm × 2 µm) taken for Si-capped n+-Ge samples after (a) 1 cycle, (b) 5 cycles, and (c) 50 cycles of RCA.
Fig. 4
Fig. 4 Typical PL spectra for undoped and n+-Ge layers.
Fig. 5
Fig. 5 (a) Normalized Ge (004) peaks in XRD ω scan for Si-capped n+-Ge before and after 3 cycles of RCA, (b) TD density estimated from XRD and (c) loss of electrons/P-dopants as a function of RCA cycles. In (b) and (c), the results for Si-capped and uncapped n+-Ge are shown with the fitting curves indicated by the dashed lines.
Fig. 6
Fig. 6 Typical Raman spectra for Si-capped Ge. Two different thicknesses of Si capping layer, 5 nm and 20 nm, are compared. As a reference, a Raman spectrum for bulk Si is also shown.
Fig. 7
Fig. 7 Typical Raman spectra for (a) uncapped n+-Ge and (b) Si-capped n+-Ge. The number of RCA cycles was changed up to 50. Raman spectra for bulk Ge and bulk Si are also shown as the references at the bottom of each figure.
Fig. 8
Fig. 8 Typical PL spectra for (a) uncapped n+-Ge and (b) Si-capped n+-Ge measured with a 785-nm excitation laser. The number of RCA cycles was changed up to 50.
Fig. 9
Fig. 9 Electron concentration in the Γ valley as a function of Si content in unstrained SiGe. The total electron concentration is assumed to be 1 × 1019 cm−3.
Fig. 10
Fig. 10 Normalized PL spectra from Si-capped n+-Ge measured with different excitation wavelength: (a) 457 nm and (b) 785 nm, and (c) PL enhancement as a function of the number of RCA cycles. In (a) and (b), the vertical axes are normalized with the peak intensities for 3 cycles of RCA.
Fig. 11
Fig. 11 Calculated depth profiles of hole concentration for several different S. (a) for 457 nm excitation and (b) for 785 nm excitation. The hole lifetime in Ge is assumed to be 0.1 ns.
Fig. 12
Fig. 12 (a) Calculated I785/I457 as a function of S for different lifetime in Ge of 0.1 ns, 1 ns, and 10 ns, and (b) measured I785/I457 for Si-capped n+-Ge as a function of the number of RCA cycles.
Fig. 13
Fig. 13 (a) Calculated Jth as a function of interface recombination velocity S for several different lifetimes in Ge of 0.1–100 ns, and (b) schematic illustration showing the presence of high density of defects/dislocations at the Ge/Si interface due to the 4% lattice mismatch between Ge and Si.

Equations (6)

Equations on this page are rendered with MathJax. Learn more.

ρ = β 2 / ( 9 b 2 ) ,
d ρ / d N = K ρ 2 , or ρ = ρ 0 / ( 1 + K ρ 0 N ) .
S = v t N S σ ,
N S = C × Δ a / a 0 3 ,
I λ = 0 d G e B Γ [ n Γ ( x ) p ( x ) n i 2 ] exp ( α x ) d x ,
Δ J t h = q d G e ( A / V ) p t h S ,
Select as filters


Select Topics Cancel
© Copyright 2024 | Optica Publishing Group. All rights reserved, including rights for text and data mining and training of artificial technologies or similar technologies.