Expand this Topic clickable element to expand a topic
Skip to content
Optica Publishing Group

Holographic fabrication of 3D photonic crystals through interference of multi-beams with 4 + 1, 5 + 1 and 6 + 1 configurations

Open Access Open Access

Abstract

In this paper, we are able to fabricate 3D photonic crystals or quasi-crystals through single beam and single optical element based holographic lithography. The reflective optical elements are used to generate multiple side beams with s-polarization and one central beam with circular polarization which in turn are used for interference based holographic lithography without the need of any other bulk optics. These optical elements have been used to fabricate 3D photonic crystals with 4, 5 or 6-fold symmetry. A good agreement has been observed between fabricated holographic structures and simulated interference patterns.

© 2014 Optical Society of America

1. Introduction

Three-dimensional (3D) photonic nano/micro-structures have yielded amazing optical functionalities such as negative index meta-materials [1–3], ultra-high Q optical resonators for lasers [4–6], and optical cloaking devices [7, 8]. These 3D photonic structures can be fabricated by self-assembly of colloidal micro/nano-spheres [9,10], layer-by-layer micro-fabrication [4, 11] and direct laser writing (DLW) [8, 12–15]. DLW is a very attractive process as it has demonstrated fabrication capability for periodic, quasi-periodic or graded refractive index structures [8, 15].

Unlike the series processing of DLW, multi-beam interference based holographic lithography has a capability for parallel and simultaneous fabrication of large area of structures in one exposure [16,17]. A beam configuration of 3 side beams plus one central beam (3 + 1 umbrella configuration) has been widely used for the fabrication of 3D photonic crystals [16–19]. The 4 + 1 configuration (4 side beams and one central beam) has been proposed for the holographic fabrication of 3D photonic crystals [20,21]. The 4 side beams have the same angle relative to the central beam and same magnitude of wave-vector but are equally separated in a cone configuration. Experimentally, two-layer-grating based phase masks have been used to generate five diffracted laser beams for the interference holographic lithography. The distance between two grating layers will determine the phase of the two diffracted beams relative to the other three diffracted beams [22–24]. If the phase difference is 0.5π or 2nπ + 0.5π (where n is an integer number), the formed interference pattern in x-direction is shifted from the interference pattern in y-direction by 0.25 times the periodicity along the z-direction thus forming a woodpile structure [21,23,24]. In addition to the phase mask method [21–24], flat-top prisms have been used to deflect laser beams [25,26]. When the number of interfering beams is increased to 6, five overlapped side beams together with a central beam (5 + 1 beams in a cone configuration) make the holographic fabrication of photonic quasi-crystals possible [27,28]. Interference lithography through 6 + 1 beams (6 + 1 beams in a cone configuration and side beams each having same magnitude of wave-vector) has generated chiral photonic crystals by using a circularly polarized central beam and individually controlling the polarization of the side beams to be s-wave using wave-plates [29,30].

In this paper, we report the holographic fabrication of 3D photonic crystals or quasi-crystals with 4, 5 and 6-fold symmetries using a single reflective element (ROE) to generate 4, 5 and 6 side beams, respectively. A circularly polarized laser beam can be decomposed as two laser beams with perpendicular polarizations and a phase shift of 0.5π relative to each other. By taking advantage of the intrinsic phase shift of 0.5π in the circularly polarized beam, we eliminate the need of precise two-layer grating displacement for the holographic fabrication of woodpile structures. The ROE is designed in such a way that the reflected beams become s-polarized side beams when a single circularly polarized laser beam is incident onto the reflective surfaces inside the ROE at the Brewster angle. By using a single ROE and a single circularly polarized beam, we are able to generate s-polarized side beams together with a central circularly polarized beam for the interference lithography in SU8 and DPHPA without any other bulk optics. The fabricated 4, 5 and 6-fold 3D structures are in good agreement with the simulations.

2. Experimental detail

The multiple-beam interference was enabled by the ROE. A drawing of a single ROE generated using the 3D CAD software Solid Works, is shown in Fig. 1(a). It consists of two plastic platforms connected by four pillar supports. The two platforms and the platform supports were drawn in Solid Works and built from white ABS plastic by an UP! 3D printer. The platforms each have cut-outs of regular polygons (either square, pentagon or hexagon depending on 4, 5 or 6 side beams to be generated) on which rest the polished silicon facets as shown in Fig. 1(a). All facets are setup with the same angle β relative to the base of the support frame. When the laser beam is incident onto the silicon facet from the top of the support frame, the incident angle on the silicon surface is the same as β. The reflected beams will be overlapped as shown in Fig. 1(b) with the same fixed angle of α = 180-2β relative to z-direction (this is also the interfering angle) and their wave vectors having the same magnitude. Masks with a determined aperture array (not shown in the Fig. 1(a)) were placed on top of the ROE to block unwanted light while allowing only certain beams of the incident light to reflect off the silicon facets. 3D interference structures are formed when the reflected side beams are overlapped with the central beam. Figure 1(b) shows wave vectors k1, k2, k3 and k4 for side beams and k0 for central beam as an example of the ROE with square symmetry. The relative sizes of the polygon cut-outs in each pair of platforms as well as the height of the frame supports determine the angle at which the silicon facet rests and thus the angle of incidence and k vector configuration of the interfering beams.

 figure: Fig. 1

Fig. 1 (a) Design model of single reflective optical element generated using software Solid Works. If the single circularly polarized laser is incident onto the silicon facet at a Brewster angle, the reflected beams are s-polarized and become side beams with the same angle relative to the central un-deflected beams. (b) Schematics of wave vector configuration of four side beams and one central beam for 4 + 1 interference. (c) Scanning Electron Microscope (SEM) of top view of fabricated 3D woodpile-type photonic crystal template in SU-8. (d) Simulation of top view of interference pattern formed by four s-polarized side beams and one central circularly polarized beam in a configuration in (b). (e) SEM of cross-section of fabricated woodpile photonic crystals in SU8. (f) Simulated cross-section view of interference pattern.

Download Full Size | PDF

Four ROEs have been made and used for the interference holographic fabrication of 3D photonic structures. Table 1 lists the exposure laser wavelength, λ (nm), that has been used for the holographic lithography and the refractive index of silicon [31]. Fresnel equations were used to calculate the amplitude reflection coefficient (r) for p-wave and s-wave using the refractive index n of silicon [31] at the exposure wavelength. Three ROEs were designed to have the incident angle, (β), of laser onto silicon surface to be close to the Brewster angle (degrees) of silicon at the exposure wavelength. When β = 80 degrees (3.3 degrees away from the Brewster angle), the amplitude reflection coefficients (r) are −0.140 and −0.919 for beams with polarizations parallel with (p-polarized) and normal to (s-polarized) the incident plane, respectively. 97.7% of the reflected beam is s-polarized when the circularly polarized beam is incident onto the silicon. For incident angles of 78, 79 and 80 degrees at the wavelength of 514.5 nm, as well as for incident angle 79 at wavelength of 532 nm, the reflected beams were mainly s-polarized waves. These larger angles were used for the purpose of easy fabrication of 3D photonic crystals. An exposed 3D photonic crystal can be easily developed if the incident angle is large since the periodicity of the photonic crystal in z-direction is relatively larger. In order to get a smaller ratio of lattice constant in z-direction over those in the xy plane, a fourth ROE as listed in Table1 was designed to have an incident angle of 67 degrees. At 532 nm wavelength, 91.6% of the reflected beam is s-polarized when the circularly polarized beam is incident onto the silicon surface of the fourth ROE.

Tables Icon

Table 1. List of Laser Wavelengths and Experimental Parameters

An Ar ion laser beam (514.5 nm, Coherent Inc.) was used for the holographic fabrication of photonic structures in SU8. It was passed through a quarter wave plate and spatial filter, then expanded and collimated to a size of 2 inches. Triethylamine (TEA) was added to a modified SU8 (from MicroChem Corp.) photoresist mixture. In order to accurately control the mol concentration of TEA in the mixture, we firstly prepared a bottle of SU8 mixture with a high concentration of TEA: 20 g of SU8-2035, 0.1 g of 5,7-diiodo-3-butoxy-6-fluorone (H-Nu470), 0.5 g of (4-octyloxphenyl) phenyl iodonium hexafluoroantimonate (OPPI), 0.1 g of TEA and 6 g of propylene carbonate to assist the dissolution. Inside a second bottle, a similar mixture was prepared without TEA. Then a small amount of fully mixed solution with TEA was added to the second bottle to get a mixture with TEA amount being 30 mol % of H-Nu 470. The mixture was spin-coated on a glass slide with a speed of 700 rpm for 30 seconds. The photoresist films were prebaked on a hotplate at a temperature of 65 °C for 90 minutes and exposed to the interference pattern formed through the single beam and the single reflective optical element. For the exposure to 4 + 1 beams, a laser power of 3 W and a typical exposure time of 10 seconds were used. For the exposure to 5 + 1 beams, the laser power was 4 W and a typical exposure time was 3.5 seconds. For the exposure to 6 + 1 beams, the laser power was 4 W and a typical exposure time was 5 seconds. The exposed samples were post-baked at 65 °C for 15-30 minutes. The post-baked samples were developed in PGMEA for 15 minutes, rinsed by isopropanol for one minute and left to dry in air.

For the holography exposure to 532 nm laser (Cobolt Samba), a photoresist mixture was prepared with the following components in the specified weight concentrations: dipentaerythritol penta/hexaacrylate (DPHPA) monomer (Aldrich, 88.83%), a photo initiator rose bengal (0.11%), co-initiator N-phenyl glycine (NPG, 0.79%), and chain extender N-vinyl pyrrolidinone (NVP, 10.27%). The laser beam was circularly polarized by passing through a quarter wave plate, spatial filtered, expanded and collimated. The mixture was spin-coated on a glass slide with a speed of 2000 rpm for 30 seconds. The photoresist film was exposed to the interference pattern formed through the single beam and the single reflective optical element. The laser power was 150 mW and a typical exposure was 3.2 seconds. The exposed sample was developed in PGMEA for 60 minutes, rinsed by isopropanol for one minute and left to dry in air.

3. Theory of interference holography through multiple beams of 4 + 1, 5 + 1 and 6 + 1

When multiple beams are overlapped, the intensity distribution of their interference pattern can be determined by the following equations:

I=i=1nEi2+i<jnEiEjcos[(kikj)r+Δδij],
where E and δ are the electric field and initial phase for wave vector k, and n = 5, 6 or 7 for 4 + 1, 5 + 1 or 6 + 1 interferences, respectively. As shown in Fig. 1(b), we assume the central beam is propagating in the z-direction. The wave vector in Eq. (1) for the central and side beams can be described by:
k0=K(0,0,1),
kq=K(sinαcos2(q1)πp,sinαsin2(q1)πp,cosα),q=1,2,...,p,
where p = 4, 5 and 6 for the side beams in the 4 + 1, 5 + 1 and 6 + 1 interferences, respectively. The wave vector difference Δk can be considered as reciprocal vectors of holographically formed structures. The first-order wave-vector difference Δkfirst between the neighboring side beams can be described as

Δkfirst=kqkq1=2Ksinαcos(π4)(cos(n214)π,sin(n414)π,0),forp=4,
Δkfirst=kqkq1=2Ksinαcos(3π10)(cos(2n5110)π,sin(2n5110)π,0),forp=5,
Δkfirst=kqkq1=2Ksinαcos(π3)(cosn3π,sinn3π,0),forp=6,

In general, the calculation for the periodicity along xy plane and z direction of the holographic structures can be obtained from above equations using 2π/Δkfirst and 2π/(K-Kcosα), respectively.

4. Fabrication of woodpile structures through multi-beam 4 + 1 interference

The 514.5 nm laser and a ROE with an incident angle of 78 degrees onto silicon were used for the single beam and single ROE based holographic fabrication of 3D structures. As shown in Fig. 1(a) and 1(b), the central beam is circularly polarized and the 4 side beams are s-polarized waves. The polarization of k1 and k2 are perpendicular to k3 and k4’s polarizations. There is no interference between (k1, k2) and (k3, k4). The central can be decomposed into two laser beams with a phase shift of 0.5π and with polarizations perpendicular to each other. So the 4 + 1 interference can be considered as two sets of parallel interferences among (k1, k2, k0) and among (k3, k4, k0) but their orientations are rotated by 90 degrees. Considering the phase shift of 0.5π in k0, the phase in Eq. (1) for (k1, k2, k0) can be written as (kik0)r+π2+δ = (kik0)(r+rdis)+δ where(kik0)rdis=π/2. Thus the displacement rdis = (0, 0, 0.25 λ/(1-cosα)) is the shift of interference (among beams k0, k1 and k2) due to the phase delay, relative to the interference (among beams k0, k3 and k4). Figure 1(c) shows an SEM of top view of fabricated holographic structures in SU8. The structural orientation formed by (k0, k3 and k4) and (k0, k1 and k2) are indicated by arrows and bars. It is very clear that the structure is shifted. A top-view of simulated structure is shown Fig. 1(d). The SEM looks similar to the simulation.

From Eq. (4), we can get a structural parameter L = 2π/|Δkfirst| = λ/(2sinαcos(π/4)) as labeled in Fig. 1(d). Without the shift, L will be the lattice periodicity. Due to the shift, we can re-calculate the periodicity in x or y-direction by Λ = 2 × L × cos(π/4) = λ/sinα. For all interference patterns formed 4 + 1, 5 + 1 and 6 + 1 beams, the periodicity in z-direction is 2π/(K-Kcosα) = λ/(1-cosα). Figure 1(e) shows SEM of cross-section of fabricated structures, which is in good agreement with their simulation in Fig. 1(f). Layer by layer structure is clearly visible. From the SEM, the periodicities in x (or y) and z directions are measured to be 1.15 and 6.50 microns, respectively, compared with theoretical numbers of 1.26 and 5.95 microns based on an incident angle of 78 degrees.

5. Fabrication of quasi-crystals through multi-beam 5 + 1 interference

For the fabrication of 3D quasi-crystal, 532 nm laser was initially used for a quick test of fabricated ROE on a thin film DPHPA photoresist. The incident angle of laser onto silicon inside ROE is 79 degrees. Figure 2(a) shows SEM of fabricated structure in DPHPA. For eye guidance, five circles were drawn in the figure around a common pentagon. Five-fold symmetry can be clearly observed from these five circles and the pentagon. 3D structures were fabricated in SU-8 using 514.5 nm line from Ar ion laser and the incident angle of laser onto silicon inside ROE is also 79 degrees. Figure 2(b) shows SEM of top view of fabricated 3D structure in SU8. Five circles were also drawn in the figure around a common pentagon for eye guidance. These SEMs of fabricated structures in DPHPA and SU8 look similar to the simulated structures where five circles can also be drawn in the simulation around a common pentagon.

 figure: Fig. 2

Fig. 2 (a-b) SEMs of fabricated quasi-crystals in thin film DPHPA and SU8 using ROE for 5 + 1 beam interference lithography, respectively. (c) Simulated top view of 5 + 1 interference pattern. For eye guidance, five circles were drawn in the SEM around common pentagons in (a-c). (d) SEM of supporting post for keeping 3D quasi-crystals from washing away during development. (e) SEM of cross section of fabricated 3D quasi-crystals in SU8. Red arrow indicates the air voids and yellow ellipses indicate the formed structures corresponding to the high intensity ellipse array in (f). (f) A side view of simulated 3D interference pattern due to 5 + 1 beams.

Download Full Size | PDF

The circular structures can be considered as the interference pattern due to neighboring side beams. For the structure with five-fold symmetry, it has rotational symmetry but lack of translational symmetry. From Eq. (5), Δkfirst can rotate in a circle with a radius of 2Ksinαcos(3π/10). Thus for the holographic structure, we can get a structural parameter q = 2π/|Δkfirst| = λ/(2sinαcos(3π/10) as labeled in Fig. 2(c). Experimentally α = 22 degrees was used. Theoretically q = 1.168 micron while q = 1.108 micron as measured in SEM.

To obtain 3D structures, an extra step was applied to enforce adhesion to glass substrate. After post-baking for 15 minutes, laser exposed area was clearly visible. Three pin holes were punched on black aluminum foil and put as a mask over the exposed area then sample received a UV exposure from 375 nm laser (CrystaLaser). After post-baking for additional 15 minutes, three support posts (one of them is shown in Fig. 2(d)) helped sample stay on glass-slides after the development.

Figure 2(e) and 2(f) show an SEM of cross-section of the fabricated 3D photonic quasi-crystal and side-view of simulated interference pattern. The blue line in Fig. 2(e) indicates the edge of top surface. From the simulation, we can see that high intensity elliptical structures were formed in a region separated by sloped low intensity regions as indicated by red arrows in both SEM and the simulation. For eye guidance, seven ellipses were drawn in the SEM corresponding to the high intensity ellipses in the simulation. The distance between the center of the top three-ellipse array and the center of the bottom four-ellipse array equals half of the periodicity in z-direction. The periodicity is measured to be 7.53 microns. Theoretically the periodicity λ/(1-cosα) = 7.07 microns.

6. Holographic fabrication using ROE for multiple beam 6 + 1 interference

ROE for 6 + 1 interference was designed with an incident angle of 80 degrees. Figure 3(a-c) shows SEM of top-view of fabricated structure in SU8 using 514.5 nm laser while Fig. 3(d) shows the simulated interference pattern. Because the SU8 thin film surface is not completely flat and perpendicular to the z-axis, the top view of the developed structure shows different features corresponding to different view depths in the z-direction. An enlarged view of top-central section of SEM in Fig. 3(a) is shown in Fig. 3(b). The top’s post-like structures have clearly distinguishable hexagonal symmetry and are weakly linked together. The structures below have an orientation rotated by 60 degrees from the top posts’ link. The structures look similar to the simulation in Fig. 3(d1) taken from the 3D simulation in Fig. 3(d) at the depth indicated by the arrow (b’). An enlarged view of bottom-right section of SEM in Fig. 3(a) is shown in Fig. 3(c). The top’s post-like structures have shifted toward the middle of an array of bar structures at the lower level. The structures look similar to the simulation in Fig. 3(d2) taken from the 3D simulation in Fig. 3(d) at the lower depth indicated by the arrow (c’). Figure 3(e) shows atomic force microscope (AFM) image of fabricated structures in SU8, revealing a similar top surface to the SEM in Fig. 3(b). A hexagon has been drawn for eye guidance. The lattice constant a is labeled in Fig. 3(e) and can be calculated by a = 2π/|Δkfirst| = λ/(2sinαcos(π/3)) from Eq. (6). For α = 20 degrees, a theoretical value of a = 1.50 microns is expected while a = 1.53 microns as measured by AFM.

 figure: Fig. 3

Fig. 3 (a) SEM of top-view of fabricated structures with 6-fold symmetry in SU8. (b-c) An enlarged view of top-central and bottom-right section structures in (a) and their simulated structures in (d1) and (d2), respectively. The simulations in (d1) and (d2) are taken from the 3D simulation in (d) at different depth in z-direction as indicated by arrows at (b’) and (c’), respectively. (e) AFM image of fabricated 6-fold symmetrical structures. Hexagon is drawn for eye guidance and lattice constant a is labeled. (f) SEM of the cross section of fabricated 3D photonic structures in SU8. Red rectangles in (d) and (f) are drawn for structural comparison inside.

Download Full Size | PDF

A similar uv support exposure to Fig. 2(d) was used to sustain 3D structures formed through 6 + 1 beam interference. Figure 3(f) shows an SEM of the cross-section of fabricated 3D photonic structures with 6-fold symmetry. Layer-by-layer building-like structures are clearly visible. The supporting posts between layers are not straight but rather, slanted. The skew can be understood from the simulation in Fig. 3(d) with the red rectangles in Figs. (d) and (f) drawn for comparison. The curvature in the red rectangle of Fig. 3(d) arises because the supporting post terminates at the center of a layer but the next lower supporting post begins at the right edge of a layer. The periodicity (double of layer distance) of structures is measured to be 8.59 microns while the theoretical calculation of the periodicity λ/(1-cosα) = 8.53 microns.

Laue diffraction patterns [27] from these 3D photonic crystals with 4, 5 or 6-fold symmetry are shown in Fig. 4(a-c). The patterns were generated using the 532 nm laser. These diffraction patterns were formed on a white paper and their digital images were taken behind the white paper. For the 3D photonic crystals with 4 and 6-fold symmetries, their corresponding diffraction patterns have 4 and 6-fold symmetries as shown in Fig. 4(a) and 4(c), respectively. The size of diffraction spots is a measure of the diffraction intensity. In Fig. 4(a) and 4(c), the first-order diffractions (as indicated by yellow lines) are stronger than the second-order diffractions (as indicated by white lines). From the diffraction pattern of the quasi-crystals in Fig. 4(b), lots of useful information can be revealed. As indicated by yellow, white, and red lines for the first, second and third-order diffractions, respectively, ten-fold symmetries were clearly observed in these diffraction orders, which are typical for Penrose quasicrystals [27,28]. Similar to the diffraction pattern from Penrose quasi-crystals in reference 27, we observed pentagon structures (as indicated by light blue lines in Fig. 4(b)) in the diffraction pattern and the ratio of radii of the second-order diffraction to the first-order diffraction was measured to be 1.7, which is close to the golden ratio of 1.618. However, the diffraction pattern in Fig. 4(b) is not uniformly distributed in all radial directions. The spot sizes of the second order diffraction become smaller along the white line as observed in the direction from 1 to 2 and to 3 as labeled in the figure. However, the spot sizes of the third order diffraction become larger along the red line in the direction from 1 to 2 and to 3. These features might be due to the chiral-type structures [29] as seen in Fig. 2(e).

 figure: Fig. 4

Fig. 4 Laue diffraction patterns from photonic crystals with 4 (a), 5 (b) and 6-fold (c) symmetries, respectively. Yellow, white and red lines were drawn for eye-guidance and for grouping the first-, second-, and third-order diffraction spots, respectively. The light-blue lines indicate a pentagon structure in (b).

Download Full Size | PDF

7. Discussion

In order to obtain 3D structures with a smaller periodicity, a bigger interference angle and thus a smaller incident angle is needed. If we want s-waves for side beams, the value of Brewster angle should be smaller. One option is that we can select a material with a smaller refractive index as the surface to reflect the circularly polarized laser since the Brewster angle is determined by the refractive index n.

If the surface material is left the same but the angle is moved away from the Brewster angle, we can study the effect of polarization ratio in the side beam on the holographic structure. As listed in Table 1, an ROE with silicon facets was used for holographic fabrication using 532 nm laser and an incident angle of 67 degrees. 91.6% of reflected light is s-polarized and 8.4% is p-polarized. Figure 5(a) shows AFM image of fabricated structures in DPHPA using 532 nm laser. The periodicity in x (or y) direction is decreased to 0.675 microns. Figure 5(b) shows the simulated structures, which is a typical woodpile structure although p-wave was involved in the interference. AFM surface profile along two lines in Fig. 5(a) is shown in Fig. 5(c). The purple line in Fig. 5(c) reveals structural parameters in the first and second layers while the blue line tells us the information on the second and lower layers. As can be seen, woodpile structures can still be obtained at an incident angle of 67 degrees. The β angle range can be from 67 to 82 degrees where more than 90% of reflected light is s-polarized thus woodpile-type structures can be obtained.

 figure: Fig. 5

Fig. 5 (a) AFM image of fabricated structures in DPHPA using ROE for 4 + 1 beam interference with an incident angle of 67 degrees onto the silicon surface. (b) Simulation of 4 + 1 interference. (c) AFM surface profile for fabricated structures in (a) along two lines indicated in (a).

Download Full Size | PDF

For 4 + 1 configuration based interference lithography, the incident light is preferred to be circularly polarized in order to obtain a woodpile structure. For 5 + 1 and 6 + 1 configurations as shown in Fig. 6(a1) and 6(b1), the incident light can be linearly polarized for 3D holographic structures. In order to enrich the application of ROE, we simulate the interference patterns when a linearly polarized light is incident onto silicon facet at the Brewster angle as a function of polarization angle θ relative to horizontal direction. The top-view of the interference patterns are shown in Fig. 6(a2-a4) and 6(b2-b4). For both configurations, the motif of the interference pattern is strongly dependent on the angle θ. For example, we can see clearly pentagon structures in Fig. 6(a2) while the motif is more complicated in Fig. 6(a4) and pentagon structures are barely visualized.

 figure: Fig. 6

Fig. 6 (a1) 5 + 1 configuration where a linearly polarized light is incident onto silicon surface at the Brewster angle with a polarization angle θ. Top view of interference patterns as a function of polarization angle at 0 (a2), 30 (a3) and 60 (a4) degrees. Five circles are drawn for eye guidance. (b1) 6 + 1 configuration with a similar condition to (a1). Top view of interference patterns as a function of polarization angle at 0 (b2), 20 (b3) and 40 (b4) degrees. (c1) four silicon facets and one silica facet in a hexagonal arrangement. (c2) top view and (c3) 3D view of the interference pattern. (c4) An enlarged view of the interference pattern.

Download Full Size | PDF

Combination of different reflective materials inside the ROE can result in some interesting structures. As shown in Fig. 6(c1) for a hexagonal arrangement, four silicon facets are supported at the Brewster angle of 76.7 degrees for 514.5 nm laser, one fused silica facet is supported at the same angle while the last side is empty (no reflection). Figure 6(c2) shows a top view of the interference pattern when a circularly polarized beam at 514.5 nm is incident onto the ROE. Hexagonal structures are still visible. 3D interference pattern is shown in Fig. 6(c3) and an enlarged view of a small portion is shown in Fig. 6(c4). Clearly, four silicon facets and one silica facet in a hexagonal arrangement can be used to generate chiral stair-like 3D structures.

6. Summary

In summary, holographic fabrication of photonic crystals or quasi-crystals can be realized through single circularly polarized beam and single ROE. 3D photonic structures with 4, 5 and 6-fold rotational symmetries have been achieved through the interference of multiple beams with 4 + 1, 5 + 1 and 6 + 1 configurations.

Acknowledgments

This work is supported by research grants from the U.S. National Science Foundation under Grant Nos. CMMI-1109971, -1266251, -1300273, and ECCS-1128099, -1407443.

References and links

1. D. Chanda, K. Shigeta, S. Gupta, T. Cain, A. Carlson, A. Mihi, A. J. Baca, G. R. Bogart, P. Braun, and J. A. Rogers, “Large-area flexible 3D optical negative index metamaterial formed by nanotransfer printing,” Nat. Nanotechnol. 6(7), 402–407 (2011). [CrossRef]   [PubMed]  

2. J. Valentine, S. Zhang, T. Zentgraf, E. Ulin-Avila, D. A. Genov, G. Bartal, and X. Zhang, “Three-dimensional optical metamaterial with a negative refractive index,” Nature 455(7211), 376–379 (2008). [CrossRef]   [PubMed]  

3. N. Liu, H. Guo, L. Fu, S. Kaiser, H. Schweizer, and H. Giessen, “Three-dimensional photonic metamaterials at optical frequencies,” Nat. Mater. 7(1), 31–37 (2008). [CrossRef]   [PubMed]  

4. A. Tandaechanurat, S. Ishida, D. Guimard, M. Nomura, S. Iwamoto, and Y. Arakawa, “Lasing oscillation in a three-dimensional photonic crystal nanocavity with a complete bandgap,” Nat. Photonics 5(2), 91–94 (2011). [CrossRef]  

5. M. Qi, E. Lidorikis, P. T. Rakich, S. G. Johnson, J. D. Joannopoulos, E. P. Ippen, and H. I. Smith, “A three-dimensional optical photonic crystal with designed point defects,” Nature 429(6991), 538–542 (2004). [CrossRef]   [PubMed]  

6. M. Lončar, T. Yoshie, A. Scherer, P. Gogna, and Y. Qiu, “Low-threshold photonic crystal laser,” Appl. Phys. Lett. 81(15), 2680–2682 (2002). [CrossRef]  

7. J. Valentine, J. Li, T. Zentgraf, G. Bartal, and X. Zhang, “An optical cloak made of dielectrics,” Nat. Mater. 8(7), 568–571 (2009). [CrossRef]   [PubMed]  

8. T. Ergin, N. Stenger, P. Brenner, J. B. Pendry, and M. Wegener, “Three-dimensional invisibility cloak at optical wavelengths,” Science 328(5976), 337–339 (2010). [CrossRef]   [PubMed]  

9. Y. A. Vlasov, X. Z. Bo, J. C. Sturm, and D. J. Norris, “On-chip natural assembly of silicon photonic bandgap crystals,” Nature 414(6861), 289–293 (2001). [CrossRef]   [PubMed]  

10. P. Jiang, J. F. Bertone, and V. L. Colvin, “A lost-wax approach to monodisperse colloids and their crystals,” Science 291(5503), 453–457 (2001). [CrossRef]   [PubMed]  

11. J. G. Fleming, S. Y. Lin, I. El-Kady, R. Biswas, and K. M. Ho, “All-metallic three-dimensional photonic crystals with a large infrared bandgap,” Nature 417(6884), 52–55 (2002). [CrossRef]   [PubMed]  

12. M. Deubel, G. von Freymann, M. Wegener, S. Pereira, K. Busch, and C. M. Soukoulis, “Direct laser writing of three-dimensional photonic-crystal templates for telecommunications,” Nat. Mater. 3(7), 444–447 (2004). [CrossRef]   [PubMed]  

13. K. K. Seet, V. Mizeikis, S. Matsuo, S. Juodkazis, and H. Misawa, “Three-dimensional spiral-architecture photonic crystals obtained by direct laser writing,” Adv. Mater. 17(5), 541–545 (2005). [CrossRef]  

14. M. Thiel, M. S. Rill, G. von Freymann, and M. Wegener, “Three-dimensional bi-chiral photonic crystals,” Adv. Mater. 21(46), 4680–4682 (2009). [CrossRef]  

15. A. Ledermann, L. Cademartiri, M. Hermatschweiler, C. Toninelli, G. A. Ozin, D. S. Wiersma, M. Wegener, and G. von Freymann, “Three-dimensional silicon inverse photonic quasicrystals for infrared wavelengths,” Nat. Mater. 5(12), 942–945 (2006). [CrossRef]   [PubMed]  

16. M. Campbell, D. N. Sharp, M. T. Harrison, R. G. Denning, and A. J. Turberfield, “Fabrication of photonic crystals for the visible spectrum by holographic lithography,” Nature 404(6773), 53–56 (2000). [CrossRef]   [PubMed]  

17. S. Yang, M. Megens, J. Aizenberg, P. Wiltzius, P. M. Chaikin, and W. B. Russel, “Creating periodic three-dimensional structures by multibeam interference of visible laser,” Chem. Mater. 14(7), 2831–2833 (2002). [CrossRef]  

18. X. Wang, J. F. Xu, H. M. Su, Z. H. Zeng, Y. L. Chen, H. Z. Wang, Y. K. Pang, and W. Y. Tam, “Three-dimensional photonic crystals fabricated by visible light holographic lithography,” Appl. Phys. Lett. 82(14), 2212–2214 (2003). [CrossRef]  

19. Yu. V. Miklyaev, D. C. Meisel, A. Blanco, G. von Freymann, K. Busch, W. Koch, C. Enkrich, M. Deubel, and M. Wegener, “Three-dimensional face-centered-cubic photonic crystal templates by laser holography: fabrication, optical characterization, and band-structure calculations,” Appl. Phys. Lett. 82(8), 1284–1286 (2003). [CrossRef]  

20. Y. Lin, P. R. Herman, and E. L. Abolghasemi, “Proposed single-exposure holographic fabrication of microsphere-type photonic crystal through phase mask techniques,” J. Appl. Phys. 97(9), 096102 (2005). [CrossRef]  

21. T. Y. M. Chan, O. Toader, and S. John, “Photonic band-gap formation by optical-phase-mask lithography,” Phys. Rev. E Stat. Nonlin. Soft Matter Phys. 73(4), 046610 (2006). [CrossRef]   [PubMed]  

22. Y. Lin, A. Harb, D. Rodriguez, K. Lozano, D. Xu, and K. P. Chen, “Fabrication of two-layer integrated phase mask for single-beam and single-exposure fabrication of three-dimensional photonic crystal,” Opt. Express 16(12), 9165–9172 (2008). [CrossRef]   [PubMed]  

23. D. Chanda, L. E. Abolghasemi, M. Haque, M. L. Ng, and P. R. Herman, “Multi-level diffractive optics for single laser exposure fabrication of telecom-band diamond-like 3-dimensional photonic crystals,” Opt. Express 16(20), 15402–15414 (2008). [CrossRef]   [PubMed]  

24. K. Ohlinger, H. Zhang, Y. Lin, D. Xu, and K. P. Chen, “A tunable three layer phase mask for single laser exposure 3D photonic crystal generations: bandgap simulation and holographic fabrication,” Opt. Mater. Express 1(5), 1034–1039 (2011). [CrossRef]  

25. D. Xu, K. P. Chen, A. Harb, D. Rodriguez, K. Lozano, and Y. Lin, “Phase Tunable Holographic Fabrication for Three-dimensional Photonic Crystal Templates by Using a Single Optical Element,” Appl. Phys. Lett. 94(23), 231116 (2009). [CrossRef]  

26. Y. K. Pang, J. C. Lee, C. T. Ho, and W. Y. Tam, “Realization of woodpile structure using optical interference holography,” Opt. Express 14(20), 9113–9119 (2006). [CrossRef]   [PubMed]  

27. A. Harb, F. Torres, K. Ohlinger, Y. Lin, K. Lozano, D. Xu, and K. P. Chen, “Holographically formed three-dimensional Penrose-type photonic quasicrystal through a lab-made single diffractive optical element,” Opt. Express 18(19), 20512–20517 (2010). [CrossRef]   [PubMed]  

28. X. Wang, J. Xu, J. C. Lee, Y. K. Pang, W. Y. Tam, C. T. Chan, and P. Sheng, “Realization of optical periodic quasicrystals using holographic lithography,” Appl. Phys. Lett. 88(5), 051901 (2006). [CrossRef]  

29. Y. K. Pang, J. C. Lee, H. F. Lee, W. Y. Tam, C. T. Chan, and P. Sheng, “Chiral microstructures (spirals) fabrication by holographic lithography,” Opt. Express 13(19), 7615–7620 (2005). [CrossRef]   [PubMed]  

30. X. Wang, W. Gao, J. Hung, and W. Y. Tam, “Optical activities of large-area SU8 microspirals fabricated by multibeam holographic lithography,” Appl. Opt. 53(11), 2425–2430 (2014). [CrossRef]   [PubMed]  

31. D. F. Edwards, “Silicon (Si),” in Handbook of Optical Constants of Solids, E.D. Palik, ed. (Academic, Orlando, Fla., 1985).

Cited By

Optica participates in Crossref's Cited-By Linking service. Citing articles from Optica Publishing Group journals and other participating publishers are listed here.

Alert me when this article is cited.


Figures (6)

Fig. 1
Fig. 1 (a) Design model of single reflective optical element generated using software Solid Works. If the single circularly polarized laser is incident onto the silicon facet at a Brewster angle, the reflected beams are s-polarized and become side beams with the same angle relative to the central un-deflected beams. (b) Schematics of wave vector configuration of four side beams and one central beam for 4 + 1 interference. (c) Scanning Electron Microscope (SEM) of top view of fabricated 3D woodpile-type photonic crystal template in SU-8. (d) Simulation of top view of interference pattern formed by four s-polarized side beams and one central circularly polarized beam in a configuration in (b). (e) SEM of cross-section of fabricated woodpile photonic crystals in SU8. (f) Simulated cross-section view of interference pattern.
Fig. 2
Fig. 2 (a-b) SEMs of fabricated quasi-crystals in thin film DPHPA and SU8 using ROE for 5 + 1 beam interference lithography, respectively. (c) Simulated top view of 5 + 1 interference pattern. For eye guidance, five circles were drawn in the SEM around common pentagons in (a-c). (d) SEM of supporting post for keeping 3D quasi-crystals from washing away during development. (e) SEM of cross section of fabricated 3D quasi-crystals in SU8. Red arrow indicates the air voids and yellow ellipses indicate the formed structures corresponding to the high intensity ellipse array in (f). (f) A side view of simulated 3D interference pattern due to 5 + 1 beams.
Fig. 3
Fig. 3 (a) SEM of top-view of fabricated structures with 6-fold symmetry in SU8. (b-c) An enlarged view of top-central and bottom-right section structures in (a) and their simulated structures in (d1) and (d2), respectively. The simulations in (d1) and (d2) are taken from the 3D simulation in (d) at different depth in z-direction as indicated by arrows at (b’) and (c’), respectively. (e) AFM image of fabricated 6-fold symmetrical structures. Hexagon is drawn for eye guidance and lattice constant a is labeled. (f) SEM of the cross section of fabricated 3D photonic structures in SU8. Red rectangles in (d) and (f) are drawn for structural comparison inside.
Fig. 4
Fig. 4 Laue diffraction patterns from photonic crystals with 4 (a), 5 (b) and 6-fold (c) symmetries, respectively. Yellow, white and red lines were drawn for eye-guidance and for grouping the first-, second-, and third-order diffraction spots, respectively. The light-blue lines indicate a pentagon structure in (b).
Fig. 5
Fig. 5 (a) AFM image of fabricated structures in DPHPA using ROE for 4 + 1 beam interference with an incident angle of 67 degrees onto the silicon surface. (b) Simulation of 4 + 1 interference. (c) AFM surface profile for fabricated structures in (a) along two lines indicated in (a).
Fig. 6
Fig. 6 (a1) 5 + 1 configuration where a linearly polarized light is incident onto silicon surface at the Brewster angle with a polarization angle θ. Top view of interference patterns as a function of polarization angle at 0 (a2), 30 (a3) and 60 (a4) degrees. Five circles are drawn for eye guidance. (b1) 6 + 1 configuration with a similar condition to (a1). Top view of interference patterns as a function of polarization angle at 0 (b2), 20 (b3) and 40 (b4) degrees. (c1) four silicon facets and one silica facet in a hexagonal arrangement. (c2) top view and (c3) 3D view of the interference pattern. (c4) An enlarged view of the interference pattern.

Tables (1)

Tables Icon

Table 1 List of Laser Wavelengths and Experimental Parameters

Equations (6)

Equations on this page are rendered with MathJax. Learn more.

I = i = 1 n E i 2 + i < j n E i E j cos [ ( k i k j ) r + Δ δ i j ] ,
k 0 = K ( 0 , 0 , 1 ) ,
k q = K ( sin α cos 2 ( q 1 ) π p , sin α sin 2 ( q 1 ) π p , cos α ) , q = 1, 2, ... ,p,
Δ k f i r s t = k q k q 1 = 2 K sin α cos ( π 4 ) ( cos ( n 2 1 4 ) π , sin ( n 4 1 4 ) π , 0 ) , for p = 4,
Δ k f i r s t = k q k q 1 = 2 K sin α cos ( 3 π 10 ) ( cos ( 2 n 5 1 10 ) π , sin ( 2 n 5 1 10 ) π , 0 ) , for p = 5,
Δ k f i r s t = k q k q 1 = 2 K sin α cos ( π 3 ) ( cos n 3 π , sin n 3 π , 0 ) , for p = 6,
Select as filters


Select Topics Cancel
© Copyright 2024 | Optica Publishing Group. All rights reserved, including rights for text and data mining and training of artificial technologies or similar technologies.