Expand this Topic clickable element to expand a topic
Skip to content
Optica Publishing Group

Actinic microscope for extreme ultraviolet lithography photomask inspection and review

Open Access Open Access

Abstract

Two dual-configuration extreme ultraviolet (EUV, 13.5nm wavelength) optical designs are described as a means to overcome principal EUV photomask metrology challenges. Semiconductor industry-wide efforts to define performance requirements and create standalone tools that can be used to discover, review, and accurately locate phase, amplitude, and mask pattern defects are described. The reference designs co-optimize low and high magnification configurations for orthogonal chief ray planes to avoid inspection and review trade-offs and emulate the aerial image of a lithography scanner.

©2012 Optical Society of America

1. Introduction

Fabrication and actinic inspection of defect-free photomasks are principal challenges impeding the adoption of 13.5nm wavelength extreme ultraviolet lithography (EUVL). This was the conclusion reached by the International EUV Steering Committee [1]. The risk also extends to cost-effective single exposure lithography for the continuation of Moore’s Law. Sub-wavelength optical lithography is possible with a combination of constrained layouts, phase shifting masks, immersion lithography, co-optimized proximity correction and partial coherence, nonlinear photoresist, and pitch-splitting or multiple patterning processes. However, maintaining the pace of scaling with this combined approach is becoming difficult and inordinately expensive. In contrast, EUVL offers the potential to extend resolution with single exposure lithography [2, 3].

Unlike optical reticles, which have pellicle-protected surfaces, EUVL masks are exposed and highly sensitive to defects added during their fabrication and handling. Large area, nanometer-scale thin suspended membranes for EUV pellicles, which remain a subject of research, are currently difficult to fabricate [4, 5]. Consequently, defects created during mask fabrication remain a persistent issue. The lithographic impact or printability of mask defects is a function of their size, composition, and location. Current standards call for ultra-low thermal expansion material substrates (<30ppb/°K CTE, ~50nm p-v flatness, and sub-0.08nm RMS roughness) coated with 40–60 Mo/Si bi-layers and capped with Ru to form what is called the mask blank. A patterned absorber (typically TiN) completes the EUV mask. Scratches or particles on the substrate, film stack, blank surface, or patterned absorber render manufactured semiconductors ineffective. Uncompensated mask blank defect density targets for memory and logic technologies are approximately ≤0.03/cm2 and ≤0.003/cm2, respectively, compared to ~1/cm2 seen today. These density requirements might be relaxed through avoidance by accurately determining the location of printable defects and shifting where the mask pattern is written, or when possible by correcting the pattern geometry for the optical proximity effects [6]. Thus creating, inspecting and localizing printable defects on multilayer-coated mask blanks of sufficient quality for absorber deposition and patterning is a significant issue.

A leading process of finding mask blank defects today, termed inspection, uses a 266nm laser scanning confocal microscope. Figure 1 shows the defect distribution on a typical mask blank. After defects are found, they undergo classification to qualify their type, size, and rough estimates can be made regarding lithographic printability. Printability can only be estimated by today’s blank inspection tools because they do not accurately emulate the aerial image of a lithography scanner. Thus, in situ review of a blank defect’s aerial image is potentially of future importance. Following inspection, defect positions need to be localized with an accuracy of ~25 to 50nm so that lateral shifting of the position where absorber patterns will be written can be used to cover the blank defects with opaque regions of the design. Localization and pattern shifting are potentially important compensation methods for dark process layers.

 figure: Fig. 1

Fig. 1 Inspection measurement of a typical multilayer-coated EUV mask blank measured using a 266nm wavelength Lasertec M7360 scanning confocal microscope (SCM). (a) Location (mm) and size distribution (nm) of measured defects. (b) Example SCM pit image. (c) Example SCM buried particle image.

Download Full Size | PDF

The current optical inspection limit is a spherical equivalent volume diameter (SEVD) of ~25 to 50nm compared to a ~15 to 25nm requirement. This need is equivalent to finding defects within a few parts per one hundred trillion of the 142 × 142mm2 mask quality area. EUV inspection is likely required for higher resolution as well as for the detection of buried defects when smoothed multilayer coatings are deposited and the defect surface height is reduced. The physical response from deep ultraviolet and e-beam inspection happens at the top surface layers whereas EUV wavelengths penetrate deeply into the mask multilayer stack. Figure 2 shows example scanning electron microscopy (SEM) and transmission electron microscopy (TEM) images of common phase and absorber defects [7]. Phase defects are caused by substrate pits and buried surface bumps or particles. Amplitude or absorbing defects are generated by surface and near-surface embedded particles.

 figure: Fig. 2

Fig. 2 EUV lithography mask blank defect images. (a) Substrate pit-generated phase defect with a top surface AFM measured depth of 5.3nm and full width at half maximum (FWHM) of ~51nm. (b) A substrate bump-generated phase defect with top surface AFM measured height of 8nm and FWHM of ~62nm. (c) An example ~50nm surface particle. (d) A ~30nm embedded particle.

Download Full Size | PDF

A standalone EUV tool capable of measuring >23nm SEVD phase defects has been demonstrated with a 26 × magnification (500nm pixel) Schwarzschild optic using darkfield illumination [8, 9]. Small absorbing particles are not detected and defect review is not supported in the tool; however, additional magnification may be developed for phase defect localization. The demonstration lends confidence in the potential of standalone actinic mask blank inspection equipment. A high magnification EUV laser-based Fresnel zone plate aerial image review microscope has also been demonstrated in a standalone tool [10]. However, today the only system capable of both at-wavelength inspection and scanner-emulating defect review is the actinic inspection tool (AIT) at the Lawrence Berkeley National Laboratory Advanced Light Source [11], which operates in scanning or imaging modes. The former measures far-field scatter and specular reflection, while the latter statically images defects with a 0.0875 numerical aperture (0.35/4 NA) zone plate. Inspection times are very long, but zone plates have been able to resolve 16nm SEVD and 1nm surface height defects [12].

Two consortia are engaged in the development of standalone equipment for research and mask production. The EUV Mask Infrastructure (EMI) partnership is a SEMATECH and industry-funded consortium that is supporting the development of a prototype Zeiss AIMSTM EUV tool with Intel, Samsung, TSMC, and GLOBALFOUNDRIES [13]. The EUV Infrastructure Development Engineering Center (EIDEC) is a Japanese government and industry-funded program currently developing a darkfield blank inspection tool. Commercial efforts for both multi-column electron beam and EUV imaging microscopes for pattern mask inspection are also underway [14, 15]. Nonetheless, the complete metrology tool set needed for EUVL masks remains elusive. Standalone metrology equipment targets call for ~120-minute inspection times, <20nm sensitivity, and based on the historical trend in inspection tools a cost of between ~$25 and $50 million for a system that can detect; localize; and classify phase, amplitude, and pattern defects. It is generally accepted that separate tools might have to be used for patterned mask inspection and patterned mask aerial image review. However, a mask blank inspection and review design that matches the numerical aperture (NA), chief ray angle (CRA), partial coherence, and aberrations of a lithography scanner would also allow for in situ aerial image review and assessment of defect printability.

The dual mandate for mask blank inspection and defect localization with in situ review has been considered a significant challenge thus far. This is because inspection benefits from a large field size for improved throughput, and defect localization and review need high magnification for sufficient resolution. Avoiding this trade-off is the main problem that we are trying to solve. The design should be capable of detecting both phase and amplitude defects. The review mode should support defect localization and emulation of a lithography scanner’s aerial imaging to determine printability. Throughput trade-offs for blank inspection will be detailed. At the 16nm half-pitch node the emulation requirement translates to a numerical aperture at the mask of ~0.0825 and a chief ray angle of 6 degrees. High pixel magnification of the aerial image during review is driven by the Shannon-Nyquist sampling requirement and the minimum needed for defect localization when using commercially available CCD sensors. Separate tool platforms could overcome the inspection and review trade-offs but with increased cost and added risk of mask contamination. Zoom or exchangeable lens systems are being considered; however, these must overcome tight alignment tolerances. So far, they do not match the numerical aperture, chief ray angle, or the wavefront needed to emulate the aerial image of a scanner.

The two reference designs presented here achieve the design intent by using the non-telecentric (6 degree angle) requirement of EUVL photomasks. In the first design, with the mask orientated normal to the optic axis along the z-direction, illumination in xz and yz planes will be imaged at two different magnifications. Shutters in the system can be used to select which mode best suits the application. Illuminating and imaging in the same plane allows a brightfield process while using orthogonal planes for illumination and imaging allows darkfield operation. In the second reference design, illumination in the z-direction is directed into xz and yz planes by tilting the reflective mask surface for brightfield operation. Selection shutters without a mask tilt provide darkfield imaging. Tilted stages are commonly used by microexposure tools. It is important to note that we are not proposing to scan a tilted stage in the horizontal plane, but rather scanning a tilted stage along the tilted plane. The illumination and imaging pupils of the two reference designs are shown in Fig. 3 .

 figure: Fig. 3

Fig. 3 Illumination and imaging optic pupils shown for mask inspection and defect review/localization. (a) Microscope pupil with dual illumination paths for 0.0625 (0.25/4) NA inspection and 0.08 (0.32/4) NA review. (b) Microscope pupil with on-axis illumination and mask tilt selected paths for 0.1 (0.4/4) NA inspection and 0.0825 (0.33/4) NA review.

Download Full Size | PDF

2. Optical reference designs

EUV mask inspection and review microscope with illumination path-selected magnification

The first optical design shown in Fig. 4 is a co-optimized dual configuration EUV microscope that like the AIT has two modes of operation. The optical prescription is given in Table 1 . Illumination with a standard 6 degree CRA in the xz plane provides 130 × magnification for scanning inspection and an object field size up to 0.5 × 0.05mm2. The wavefront error of 59 mλ at the field corner is primarily limited by astigmatism and field curvature. The two-reflection 0.0625 (0.25/4) NA optic has an elliptical primary (M1), spherical secondary (M2), and 2.0m track length. While the design can be scaled to a shorter length this height is under the 3.0m ceiling height of typical mask shop clean rooms. The 5.0mm working distance matches existing microexposure tools however scaling the design may change the selected M4 mirror aperture. An off axis section of the mirror might be utilized instead of the current circular aperture with a central hole for illumination. The elliptical primary reduced the secondary size compared to a Schwarzschild design, and was instrumental in removing obscuration for a 6 degree CRA. The M2 is 15mm in diameter and requires a flat edge as shown in Fig. 4(d). EUV mirrors with this clear aperture have been demonstrated in a Schwarzschild system [16]. Illumination in the yz plane results in four reflections for static imaging, defect review, and localization. Conics at M3 and M4 precede the reuse of M3 and M2. Magnification of a 44 × 44μm2 field is increased to 500 × . Co-optimization minimized aberrations for an exceptional (8.2mλ center of field, 10 mλ corner of field) diffraction-limited on-axis emulation of a 4 × 0.32NA scanner. The pupil-fill differs marginally off-axis from an EUVL scanner that employs a ring-field with azimuthal pupil rotation across the illumination arc. This pupil-fill variation was not included in the design as it would overlap footprints at M3. Figure 4(e) shows why it was necessary to avoid it as a design constraint. The aerial image threshold effect for patterned masks is in the nanometer range for 16nm node features. This may necessitate a dedicated aerial image review tool for applications where the effect is important [17]. We show the field sizes and aberration phasemaps in Fig. 5 . The high magnification field size is limited by the selected CCD, and the lower magnification field was constrained by aberrations which were not allowed to exceed the diffraction limit.

 figure: Fig. 4

Fig. 4 Dual illumination path EUV microscope shown in two orthogonal planes. (a) xz plane view for inspection with 0.25/4 NA and 130 × magnification. The light path is {object, M1, M2, image}. (b) The same optic with yz plane illumination for defect review/localization with 0.32/4 NA and 500 × magnification. The light path is {object, M3, M4, M3, M2, image}. (c) Footprint of 280mm diameter M1. (d) Footprint of 15mm diameter M2. (e) Footprint of 280mm diameter M3. (f) Footprint of 42mm diameter M4.

Download Full Size | PDF

Tables Icon

Table 1. Dual Illumination Mode Microscope Optical Prescription

 figure: Fig. 5

Fig. 5 Imaging sensor and aberration-limited field sizes. (a) The object (mask) field size for inspection and review modes. (b) The image field size for both modes mapped on 27mm CCD sensors. (c) The corner of field wavefront error for the 4-optic review mode. (d) The corner of field wavefront error for the 2-optic inspection mode.

Download Full Size | PDF

The 500μm field height for bright and dark illumination, 142 × 142 mm2 mask area, and a 120-minute inspection time imply a 5.6 mm/sec stage scan speed. This inspection speed and 50μm field width necessitate a 8.9ms per pixel time delayed integration exposure. The exposure time, imaging analysis, and signal-to-noise requirements impose light source and illuminator requirements. The high magnification field size is relatively large for static aerial image review, but insufficient for patterned mask inspection. Thus, the design intent emphasizes blank inspection, blank defect localization, blank defect review, and pattern mask aerial image review rather than patterned mask inspection.

EUV mask inspection and review microscope with mask tilt selected magnification

The second design approach shown in Fig. 6 reduces the track length to 1.314 meters. The optical prescription is listed in Table 2 . As with the first design, two modes of operation are supported with low and high magnification. The large object field and low magnification setting, 85 × in this case, is intended for actinic mask blank inspection. This mode uses two reflections. M1 and M2 are aspheric and spherical mirrors, respectively. The diameter of M1 grew to 400mm because the NA was increased to 0.1. M1 can also be implemented as two mirrors with 120mm and 40mm diameters, respectively. Mask blanks can be inspected with dark- or brightfield illumination. For darkfield imaging, the illumination is directed along the optic axis with an untilted mask. The magnification is chosen, as before, with a selection shutter that opens the desired optical path. For brightfield illumination, the alternate magnification is selected by changing the object (reflective mask) plane of the tilt. Static imaging, blank and pattern mask defect review, and localization would use the higher 450 × magnification setting. This image field was designed to spans three charge-coupled device (CCD) sensors so that it is large enough to also support actinic inspection of patterned masks. Petzval curvature was minimized as an added design constraint to realize the larger field. Brightfield illumination is achieved with a 6 degree mask tilt. A 0.0825 NA provides emulation of a 0.33 NA 4 × lithography scanner. This mode uses four reflections. Aspheric M3 and conic M4 mirrors precede the reuse of mirrors M1 and M2. All the object and image fields are shown in Fig. 7 . The field widths may seem narrow, but they are larger than the AIT and other dedicated AIMS EUV tools under development.

 figure: Fig. 6

Fig. 6 EUV microscope with object tilt-selected magnification shown in two orthogonal planes. (a) xz plane view for inspection with 0.1 NA and 85 × magnification. (b) The same optic in the yz plane for defect review/localization with 0.0825 (0.33/4) NA and 450 × magnification. (c) Footprint of 400mm diameter M1. (d) Footprint of 25.4mm diameter M2. (e) Footprint of 100mm diameter M3. (f) Footprint of 30mm diameter M4.

Download Full Size | PDF

Tables Icon

Table 2. Microscope with Mask Tilt-selected Magnification Optical Prescription

 figure: Fig. 7

Fig. 7 Object and image field layouts. (a) The object (mask) areas at 85 × magnification of 300 × 60 μm2 (untilted darkfield) and 200 × 10 μm2 (tilted brightfield), and at 450 × magnification of 180 × 15 μm2 (tilted or untilted bright- and darkfields). (b) Image (sensor) field layouts at 85 × magnification of 25.5 × 5.1 mm2 (darkfield) and 17 × 0.85 mm2 (brightfield), and at 450 × magnification of 81 × 6.75 mm2 (bright- or darkfields).

Download Full Size | PDF

The tilted 85 × magnification field has center and corner-of-field root mean square (RMS) wavefront errors of 31 and 55 milliwaves, respectively. The untilted corner-of-field RMS wavefront error drops to 45 milliwaves at a larger field size. The tilted 450 × magnification mode has center and corner wavefront errors of 1.6 and 57 milliwaves, respectively. Its untilted corner-of-filed wavefront error drops to 10.2 milliwaves. The Scheimpflug condition cannot be met simultaneously at the image sensor for orthogonal field tilts, and it was left untilted. The errors are, however, within the allowed aberration budget for diffraction limited imaging. Ray-traced spot diagrams within the Airy diffraction disk diameter are shown in Fig. 8 .

 figure: Fig. 8

Fig. 8 Image field spot diagrams for normal and 6° tilted masks, with an untilted image plane, relative to the Airy diffraction disk diameters of 14μm and 89.8μm in low and high magnification modes. (a) Untilted mask spot diagrams in an 85 × darkfield inspection design over a 300 × 60 μm2 object field. (b) Tilted mask spot diagrams in an 85 × brightfield inspection design with a 200 × 10 μm2 object field. (c) Untilted mask 450 × darkfield inspection over a 200 × 60 μm2 object field. (d) Tilted mask 450 × brightfield inspection over a 180 × 15 μm2 object field.

Download Full Size | PDF

The field heights of 300 and 200μm for dark and brightfield illumination, a 142 × 142 mm2 mask area, and 120-minute inspection time imply stage scan speeds of 9.3 and 14 mm/sec, respectively. These inspection speeds and corresponding field widths of 60μm and 10μm necessitate 6.4ms and 0.7ms per pixel time-delayed integration times which is a continuously scanning integration method. The high magnification field supports blank defect localization, and emulation of the scanner mask aerial image for blank and pattern mask review. Further, the field may be large enough for patterned mask inspection. The 180 × 15 μm2 high magnification brightfield size implies a 15.6 mm/sec scan speed and 0.96ms exposure with a time delayed integration sensor.

3. Performance modeling

Sensitivity requirements

Inspection and review performance is a strong function of measurement time, source brightness, sensor parameters, optical design, and type of defect. Defect sizes below the farfield diffraction limit can measurably impact nearby patterns. As a result, inspection tools have become adept at detecting the small signals from these defects. In the designs above, this small signal regime starts for best focused defects at diameters d and phase delays from defect heights h that are below the Rayleigh criterion of d<λ/(2NA)82nm and h<λ/8=1.7nm, respectively. For a Gaussian phase defect, this is an SEVD of 29nm. The detection requirement for the 16nm half-pitch technology node is expected to be between ~15 and 25nm SEVD. The need for this is observed from small defect induced pattern errors such as those shown in Fig. 9 and 10 . Figure 9 depicts a 16nm wafer scale line/space feature with a Gaussian phase defect and the Quasar-dipole illumination used for its Fresnel-Kirchhoff partially coherent aerial image lithography modeling, which is shown in Fig. 10 [18]. At a 75nm defocus, the linewidth error from a d = 50nm FWHM defect is found to be ~10% and ~29% for h = 1nm and 2nm, respectively. Consequently, this level of inspection sensitivity is needed for isolated defects so they can be found before the absorber layer is deposited and the mask is patterned.

 figure: Fig. 9

Fig. 9 Mask pattern with Gaussian phase defect and pupil-fill used for partially coherent lithography simulation. (a) 16nm line/space features with a 50nm FWHM phase defect. (b) Quasar-dipole illumination with 0.3/0.9 inner/outer pupil radius and 60° blaze angle.

Download Full Size | PDF

 figure: Fig. 10

Fig. 10 Partially coherent Fresnel-Kirchhoff lithography simulation of 16nm line/space and Gaussian phase defect feature imaged at 0.33 NA 13.5nm wavelength through a 150nm focus range. (a) Defect FWHM of 50nm and height of 1nm showing a 10% linewidth change at the edge of focus. (b) Defect FWHM of 50nm and height of 2nm showing a 29% linewidth change at the focus edge.

Download Full Size | PDF

In the following study, a 1nm high × 50nm FWHM, 17.6nm SEVD phase defect was used, which is near the lower detection limit of the small signal regime. Amplitude defects tend to be much thicker with a material-dependent impact. For example, mask blank Ru capping layers may have irregularities. A 25nm high × 48nm wide Ru defect was chosen as the amplitude (mixed absorbing and phase) defect. A 16nm half-pitch semiconductor node line and contact features were evaluated for both patterned mask inspection and scanner emulating aerial image review.

The two optical designs resulted in similar aerial image review performance; however, the low magnification field of view of the first design is larger than the field of the second design, which is advantageous for mask blank inspection. Specifically, the inspection time per pixel was 8.9ms for both darkfield and brightfield illumination, which is longer than the 6.4ms and 0.7ms of the second design. This second design, however, included a larger high magnification field, making it also suitable for patterned mask inspection with an exposure time per pixel of 9.6ms for a 120-minute inspection. Thus, the second optical design was analyzed because it allowed examples of all major uses. The analysis used the parameters of a commercially available back-thinned EUV CCD with 13.5μm pixels, which is available in a 27 × 27mm format [19]. Much larger CCDs have been demonstrated in the soft X-ray regime, and smaller pixel sizes have been developed at both soft X-ray and optical wavelengths. This suggests that EUV CCDs can likely be improved. Pixilation effects can nonetheless be removed by Fourier filtering the data at frequencies that are higher than the band pass of the imaging optics.

Aerial image simulation and signal-to-noise requirements

Aerial image modeling was used first to test the effectiveness of the second optical design in both brightfield and darkfield low magnification modes. We begin by characterizing the relative signal strength in response to multilayer phase and top surface absorber defects in a clear field, respectively. The relative signal strength was defined as the deviation in intensity relative to the clear field intensity observed in brightfield mode. To assess the detectability of defects in brightfield, the relative signal strength was compared to the relative photon noise, assuming it is the dominant noise term. For a phase defect in brightfield, the signal strength was above 3%, as shown in Fig. 11(a) . Thus, a photon signal-to-noise ratio >100 is needed for the defect signal to be three standard deviations above the noise floor. This translates into at least 10,000 detected photons per pixel, which corresponds to a radiance of 0.27 Watts mm−2 Sr−1 at the CCD.

 figure: Fig. 11

Fig. 11 Aerial image simulation of an isolated 50nm FWHM and 1nm high Gaussian phase defects with 0.9 radius disk partial coherence using the second optical design aberrations and 3% flare in the 85 × low magnification mode with a 13.5μm pixel. (a) Relative signal strength through focus and aerial image with brightfield illumination. (b) Relative signal strength through focus and aerial image with darkfield illumination.

Download Full Size | PDF

For the darkfield case, the limiting noise is no longer the background photons, since the background is now quite small, but the CCD electronic noise. In this case, the background photon level will come only from flare, but noting that in darkfield mode the flare will be much much smaller than the quoted 3% intrinsic flare, we can ignore the contribution of the flare photons compared to any signal photons. If, for example, the CCD generates 1 electron of dark current per pixel per second and a readout noise of 20 electrons per pixel, the detector RMS noise in electrons ist+20, where t is the CCD integration time in seconds. Assuming that on average 10 electrons are captured per detected 91.84eV photon, the electrical noise can be represented in photon units by dividing by 10 yielding an effective noise in photons of 0.1t+2. (Note that we have assumed a value below the theoretical quantum yield of Silicon of 25 due to capture the effect of charge loss often found in soft-x-ray CCD cameras). To overcome the electrical noise, the signal strength at the detector must thus be 0.3t+6 to be three times greater than the RMS detector noise. The signal photons themselves, however, will also have noise, thus the signal minus 3σ the signal noise must be stronger than0.3t+6. The required signal strength in photons per pixel is thus approximately0.3t+6+30.3t+6. Considering the phase defect modeled in Fig. 11(b), the peak signal strength is approximately 0.002 times the incident signal strength due to DC filtering properties of the darkfield imaging. The incident photon level must then be3000+150t+15006+0.3t. Using the previously calculated 6.4ms, the minimum number of photons per pixel is 6700 and radiance is 0.019 Watts mm−2 Sr−1 at the CCD.

Although darkfield inspection is more efficient for these cases at low magnification, the method is blind to a range of highly absorbing surface particle sizes. This well-known limitation has been experimentally observed [20]. Consequently, both brightfield and darkfield imaging are considered important attributes of an inspection system. Both modes are, however, sensitive to mixed phase and amplitude surface particles. Figure 12 shows the modeled performance of the system in low magnification, assuming an isolated Ru top hat defect 48 nm wide and 25 nm tall. Disk illumination with a partial coherence value of 0.9 and 3% flare were used. The top row shows through-focus defect signal strength for brightfield illumination and the bottom row for darkfield. The representative defect images are at peak sensitivity as indicated by red markers in the plots. The image intensity is normalized to the image intensity value of the brightfield clear area image intensity value.

 figure: Fig. 12

Fig. 12 Aerial image simulation of an isolated 25nm high and 48nm wide top hat Ru surface particle with 0.9 radius disk partial coherence using the second optical design aberrations and 3% flare in the 85 × low magnification mode with a 13.5μm pixel. (a) Relative signal strength through focus and aerial image with brightfield illumination. (b) Relative signal strength through focus and aerial image with darkfield illumination.

Download Full Size | PDF

The difference in efficiency between brightfield and darkfield modes was an accepted drawback of this design when allowing their different field sizes. Although the first optical design avoided this, the second design provides a larger high magnification field with a shorter track length and can, in principal, be used for brightfield inspection of blanks or patterned masks that require the high magnification. Simulated 450 × magnification aerial images for the same mask blank phase and Ru defects are shown in Fig. 13 , both of which show relatively high contrast. The results of the second optical design in brightfield at 450 × magnification for patterned masks are shown in Fig. 14 . This image was modeled with standard Quazar illumination at 0.2/0.9 inner/outer partial coherence, a 90 degree blaze angle, and 6% flare. The top row shows 22nm wafer-sized contacts (88nm on the mask); the bottom row shows 16nm wafer-sized lines (64nm on the mask). The left column shows the mask with pattern defects, the center column shows the simulated CCD image, and right column shows the recovered oversampled image using Fourier filtering. Although difficult to discern simply by eye, the defects cause a measurable intensity drop at the corresponding location in the image. The center contact (the defective contact) yields an aerial image intensity drop of 16.8% and causes a 3.5nm wafer-scale (16%) change in the critical dimension. Similarly, analyzing the central portion of the middle line, the aerial image intensity drop is 8.8%, producing a 1.5nm wafer-scale (9.3%) change in critical dimension.

 figure: Fig. 13

Fig. 13 Aerial image simulation at 450 × magnification of a phase and amplitude defect through focus. Modeling with the second optical design aberrations, 0.2/0.9 inner/outer partial coherence and standard Quasar illumination with a 90 degree blaze angle assuming 6% flare. (a) An isolated 50nm FWHM and 1nm high Gaussian phase defect. (b) An isolated 25nm high and 48nm wide top hat Ru surface particle.

Download Full Size | PDF

 figure: Fig. 14

Fig. 14 Simulated contact and line features with mask patterning errors. Simulations for the second optical design aberrations, 450 × magnification, and 6% flare using standard Quasar 0.2/0.9 partial coherence with a 90 degree blaze angle. (a) 22nm wafer scale contact array with a pin-dot intrusion defect in the absorber patter. (b) 16nm line/space wafer scale features with an extrusion defect. (c) Contact aerial image with a 13.5μm CCD pixel. (d) Line/space aerial image with the same CCD. (e) Fourier-filtered contact aerial image. (f) Fourier-filtered line/space aerial image.

Download Full Size | PDF

4. Conclusion

While the semiconductor industry is investing heavily to develop the metrology tool set needed to fabricate extreme ultraviolet lithography masks, the full set of capabilities remains unproven. We demonstrate that actinic mask blank inspection of phase and amplitude defects, printability review, image localization, patterned mask inspection, and scanner emulation can be supported by a single optical platform. Two reference designs for this platform were analyzed. The first was globally co-optimized for low and high magnification with an emphasis on mask blank inspection, review, image localization, and emulation of scanner aerial imaging. The second reference design co-optimized an approach that further extends the application space to potentially include patterned mask inspection. This field of research provides an opportunity for further innovation and optical design study with significant commercial potential. We find that a metrology design solution for the 16nm half-pitch semiconductor node is a practical possibility, and expect an even greater opportunity in future technologies.

References and links

1. S. Wurm and K. Ronse, presented at the 2009 International Symposium on Extreme Ultraviolet Lithography, Prague, Czech Republic (2009).

2. M. Goldstein, R. Hudyma, P. Naulleau, and S. Wurm, “Extreme-ultraviolet microexposure tool at 0.5 NA for sub-16 nm lithography,” Opt. Lett. 33(24), 2995–2997 (2008). [CrossRef]   [PubMed]  

3. P. P. Naulleau, C. N. Anderson, J. Chiu, P. Denham, S. George, K. A. Goldberg, M. Goldstein, B. Hoef, R. Hudyma, G. Jones, C. Koh, B. L. Fontaine, A. Ma, W. Montgomery, D. Niakoula, J.- Park, T. Wallow, and S. Wurm, “22-nm Half-pitch extreme ultraviolet node development at the SEMATECH Berkeley microfield exposure tool,” Microelectron. Eng. 86(4-6), 448–455 (2009). [CrossRef]  

4. Y. A. Shroff, M. Leeson, P. Y. Yan, E. Gullikson, and F. Salmassi, “High transmission pellicles for extreme ultraviolet lithography reticle protection,” J. Vac. Sci. Technol. B 28, C6E36 (2010).

5. Y. A. Shroff, M. Goldstein, B. Rice, S. H. Lee, K. V. Ravi, and D. Tanzil, “EUV pellicle development for mask defect control,” Proc. SPIE 6151, 1–10 (2006).

6. E. Gallagher, K. Badger, L. Kindt, M. Lawliss, G. McIntyre, A. Wagner, and J. Whang, “EUV masks: ready or not?” 2011 International Symposium on Extreme Ultraviolet Lithography, Miami, Florida (USA).

7. M. Goldstein, D. Chan, A. Ma, K. Kimmel, S. Wurm, J. Harris-Jones, C. Lin, V. Jindal, A. John, and H. Kwon, “Update from the SEMATECH EUV Mask Infrastructure Initiative,” 2011 International Symposium on Extreme Ultraviolet Lithography, Miami, Florida (USA).

8. T. Yamane, T. Tanaka, T. Terasawa, and O. Suga, “Improvement of actinic blank inspection and phase defect analysis,” Proc. SPIE 7823, 1–8 (2010).

9. T. Yamane, T. Tanaka, T. Terasawa, and O. Suga, “Phase defect analysis with actinic full-field EUVL mask blank inspection,” Proc. SPIE 8166, 81660 G 1–8 (2011).

10. F. Brizuela, S. Carbajo, A. Sakdinawat, D. Alessi, D. H. Martz, Y. Wang, B. Luther, K. A. Goldberg, I. Mochi, D. T. Attwood, B. La Fontaine, J. J. Rocca, and C. S. Menoni, “Extreme ultraviolet laser-based table-top aerial image metrology of lithographic masks,” Opt. Express 18(14), 14467–14473 (2010). [CrossRef]   [PubMed]  

11. K. A. Goldberg, P. Naulleau, I. Mochi, E. H. Anderson, S. B. Rekawa, C. D. Kemp, R. F. Gunion, H.-S. Han, and S. Huh, “Actinic extreme ultraviolet mask inspection beyond 0.25 numerical aperture,” J. Vac. Sci. Technol. B 26(6), 2220–2224 (2008). [CrossRef]  

12. K. A. Goldberg and I. Mochi, “Actinic characterization of extreme ultraviolet bump-type phase defects,” J. Vac. Sci. Technol. B 29(6), 06F502 (2011). [CrossRef]  

13. AIMS is a registered trademark of Carl Zeiss SMT AG.

14. S. Mangan, R. Jonckheere, D. Van den Heuvel, M. Rozentsvige, V. Kudriashov, R. Brikman, L. Shoval, G. Santoro, and I. Englard, “EUV mask defectivity study by existing DUV tools and new E-beam technology,” Proc. SPIE 7823, 1–11 (2010).

15. D. Wack, Y. Xiong, and G. Inderhees, “Solutions for EUV mask and blank inspections,” presented at the 2011 International Symposium on Extreme Ultraviolet Lithography, Miami FL, USA (2011).

16. D. A. Tichenor, G. D. Kubiak, M. E. Malinowski, R. H. Stulen, S. J. Haney, K. W. Berger, R. P. Nissen, G. A. Wilkerson, P. H. Paul, S. R. Birtola, P. S. Jin, R. W. Arling, A. K. Ray-Chaudhuri, W. C. Sweatt, W. W. Chow, J. E. Bjorkholm, R. R. Freeman, M. D. Himel, A. A. MacDowell, D. M. Tennant, L. A. Fetter, O. R. Wood II, W. K. Waskiewicz, D. L. White, D. L. Windt, and T. E. Jewell, “Development of a Laboratory Extreme-Ultraviolet Lithography Tool,” Proc. SPIE 2194, 95–105 (1994). [CrossRef]  

17. D. Hellweg, J. Ruoff, A. Herkommer, J. Stühler, T. Ihl, H. Feldmann, M. Ringel, U. Strößner, S. Perlitz, and W. Harnisch, “AIMS EUV: the actinic aerial image review platform for EUV masks,” Proc. SPIE 7969, 1–10 (2011).

18. A. K.-K. Wong, Optical Imaging in Projection Microlithography (SPIE Press, 2005).

19. http://www.e2v.com

20. S. Jeong, L. Johnson, S. Rekawa, C. C. Walton, S. T. Prisbrey, E. Tejnil, J. H. Underwood, and J. Bokor, “Actinic detection of sub-100nm defects on extreme ultraviolet lithography mask blanks,” J. Vac. Sci. Technol. B 17(6), 3009–3013 (1999). [CrossRef]  

Cited By

Optica participates in Crossref's Cited-By Linking service. Citing articles from Optica Publishing Group journals and other participating publishers are listed here.

Alert me when this article is cited.


Figures (14)

Fig. 1
Fig. 1 Inspection measurement of a typical multilayer-coated EUV mask blank measured using a 266nm wavelength Lasertec M7360 scanning confocal microscope (SCM). (a) Location (mm) and size distribution (nm) of measured defects. (b) Example SCM pit image. (c) Example SCM buried particle image.
Fig. 2
Fig. 2 EUV lithography mask blank defect images. (a) Substrate pit-generated phase defect with a top surface AFM measured depth of 5.3nm and full width at half maximum (FWHM) of ~51nm. (b) A substrate bump-generated phase defect with top surface AFM measured height of 8nm and FWHM of ~62nm. (c) An example ~50nm surface particle. (d) A ~30nm embedded particle.
Fig. 3
Fig. 3 Illumination and imaging optic pupils shown for mask inspection and defect review/localization. (a) Microscope pupil with dual illumination paths for 0.0625 (0.25/4) NA inspection and 0.08 (0.32/4) NA review. (b) Microscope pupil with on-axis illumination and mask tilt selected paths for 0.1 (0.4/4) NA inspection and 0.0825 (0.33/4) NA review.
Fig. 4
Fig. 4 Dual illumination path EUV microscope shown in two orthogonal planes. (a) xz plane view for inspection with 0.25/4 NA and 130 × magnification. The light path is {object, M1, M2, image}. (b) The same optic with yz plane illumination for defect review/localization with 0.32/4 NA and 500 × magnification. The light path is {object, M3, M4, M3, M2, image}. (c) Footprint of 280mm diameter M1. (d) Footprint of 15mm diameter M2. (e) Footprint of 280mm diameter M3. (f) Footprint of 42mm diameter M4.
Fig. 5
Fig. 5 Imaging sensor and aberration-limited field sizes. (a) The object (mask) field size for inspection and review modes. (b) The image field size for both modes mapped on 27mm CCD sensors. (c) The corner of field wavefront error for the 4-optic review mode. (d) The corner of field wavefront error for the 2-optic inspection mode.
Fig. 6
Fig. 6 EUV microscope with object tilt-selected magnification shown in two orthogonal planes. (a) xz plane view for inspection with 0.1 NA and 85 × magnification. (b) The same optic in the yz plane for defect review/localization with 0.0825 (0.33/4) NA and 450 × magnification. (c) Footprint of 400mm diameter M1. (d) Footprint of 25.4mm diameter M2. (e) Footprint of 100mm diameter M3. (f) Footprint of 30mm diameter M4.
Fig. 7
Fig. 7 Object and image field layouts. (a) The object (mask) areas at 85 × magnification of 300 × 60 μm2 (untilted darkfield) and 200 × 10 μm2 (tilted brightfield), and at 450 × magnification of 180 × 15 μm2 (tilted or untilted bright- and darkfields). (b) Image (sensor) field layouts at 85 × magnification of 25.5 × 5.1 mm2 (darkfield) and 17 × 0.85 mm2 (brightfield), and at 450 × magnification of 81 × 6.75 mm2 (bright- or darkfields).
Fig. 8
Fig. 8 Image field spot diagrams for normal and 6° tilted masks, with an untilted image plane, relative to the Airy diffraction disk diameters of 14μm and 89.8μm in low and high magnification modes. (a) Untilted mask spot diagrams in an 85 × darkfield inspection design over a 300 × 60 μm2 object field. (b) Tilted mask spot diagrams in an 85 × brightfield inspection design with a 200 × 10 μm2 object field. (c) Untilted mask 450 × darkfield inspection over a 200 × 60 μm2 object field. (d) Tilted mask 450 × brightfield inspection over a 180 × 15 μm2 object field.
Fig. 9
Fig. 9 Mask pattern with Gaussian phase defect and pupil-fill used for partially coherent lithography simulation. (a) 16nm line/space features with a 50nm FWHM phase defect. (b) Quasar-dipole illumination with 0.3/0.9 inner/outer pupil radius and 60° blaze angle.
Fig. 10
Fig. 10 Partially coherent Fresnel-Kirchhoff lithography simulation of 16nm line/space and Gaussian phase defect feature imaged at 0.33 NA 13.5nm wavelength through a 150nm focus range. (a) Defect FWHM of 50nm and height of 1nm showing a 10% linewidth change at the edge of focus. (b) Defect FWHM of 50nm and height of 2nm showing a 29% linewidth change at the focus edge.
Fig. 11
Fig. 11 Aerial image simulation of an isolated 50nm FWHM and 1nm high Gaussian phase defects with 0.9 radius disk partial coherence using the second optical design aberrations and 3% flare in the 85 × low magnification mode with a 13.5μm pixel. (a) Relative signal strength through focus and aerial image with brightfield illumination. (b) Relative signal strength through focus and aerial image with darkfield illumination.
Fig. 12
Fig. 12 Aerial image simulation of an isolated 25nm high and 48nm wide top hat Ru surface particle with 0.9 radius disk partial coherence using the second optical design aberrations and 3% flare in the 85 × low magnification mode with a 13.5μm pixel. (a) Relative signal strength through focus and aerial image with brightfield illumination. (b) Relative signal strength through focus and aerial image with darkfield illumination.
Fig. 13
Fig. 13 Aerial image simulation at 450 × magnification of a phase and amplitude defect through focus. Modeling with the second optical design aberrations, 0.2/0.9 inner/outer partial coherence and standard Quasar illumination with a 90 degree blaze angle assuming 6% flare. (a) An isolated 50nm FWHM and 1nm high Gaussian phase defect. (b) An isolated 25nm high and 48nm wide top hat Ru surface particle.
Fig. 14
Fig. 14 Simulated contact and line features with mask patterning errors. Simulations for the second optical design aberrations, 450 × magnification, and 6% flare using standard Quasar 0.2/0.9 partial coherence with a 90 degree blaze angle. (a) 22nm wafer scale contact array with a pin-dot intrusion defect in the absorber patter. (b) 16nm line/space wafer scale features with an extrusion defect. (c) Contact aerial image with a 13.5μm CCD pixel. (d) Line/space aerial image with the same CCD. (e) Fourier-filtered contact aerial image. (f) Fourier-filtered line/space aerial image.

Tables (2)

Tables Icon

Table 1 Dual Illumination Mode Microscope Optical Prescription

Tables Icon

Table 2 Microscope with Mask Tilt-selected Magnification Optical Prescription

Select as filters


Select Topics Cancel
© Copyright 2024 | Optica Publishing Group. All rights reserved, including rights for text and data mining and training of artificial technologies or similar technologies.