Expand this Topic clickable element to expand a topic
Skip to content
Optica Publishing Group

High power fiber laser driver for efficient EUV lithography source with tin-doped water droplet targets

Open Access Open Access

Abstract

In this paper we report the development of nanosecond-pulsed fiber laser technology for the next generation EUV lithography sources. The demonstrated fiber laser system incorporates large core fibers and arbitrary optical waveform generation, which enables achieving optimum intensities and other critical beam characteristics on a laser-plasma target. Experiment demonstrates efficient EUV generation with conversion efficiency of up to 2.07% for in-band 13.5-nm radiation using mass-limited Sn-doped droplet targets. This result opens a new technological path towards fiber laser based high power EUV sources for high-throughput lithography steppers.

©2008 Optical Society of America

1. Introduction

Demands for light sources in the extreme ultraviolet (EUV) and soft-X-ray region have been growing in recent years due to potential applications on element-specific spectroscopy, high-resolution microscopy, and surface analysis [1]. The relative transparency of the “water window” in the soft X-ray region also provides solutions for high-resolution imaging in biological and medical sciences [2]. Yet, the search for a high power light source at shorter wavelengths for next generation lithography steppers has been the main driving force for the rapid development of a EUV light source in recent years. [3]

An efficient high-power EUV light source is presently considered to be a strong contender for high-volume semiconductor manufacturing at the 32-nm node between 2010 and 2013. Currently, the two leading candidates for EUV production are laser-produced plasma (LPP) and discharge produced plasma (DPP). LPP EUV have potential advantages over DPP EUV in terms of debris mitigation, source brightness, and capability of high repetition rate power scaling [4]. Furthermore, recent development of kW class high average power lasers has closed the power gap between LPP and DPP [5].

Multi-kW fiber laser technology is currently emerging as a highly practical platform for industrial applications due to its superior compactness, robustness, reliability and efficiency. Consequently, high power pulsed fiber lasers have a significant potential as cost-effective multi-kW power scalable laser drivers for high power LPP EUV lithography sources. However, the remaining challenge has been to demonstrate that efficient EUV generation is achievable with a fiber laser and plasma source suitable for use in lithography steppers.

Our previous proof-of-principle demonstration of the first fiber-laser-produced plasma EUV generation had demonstrated ~1% conversion efficiency with solid tin targets at a repetition rate of 50Hz [6]. At the time, conversion efficiency was limited by the highest achievable on-target intensity level of 1×1010W/cm2. Several studies [4,7–13] in conversion efficiency optimization using ns-pulsed solid state lasers have shown that the highest conversion efficiencies with tin or tin-composite target materials can be achieved at 0.5-5×1011W/cm2 (for 1064-nm lasers). Table 1 shows several laser parameter sets for Nd:YAG solid state lasers used to achieve high EUV-conversion efficiencies with tin or tin-composite targets [4,7–13]. It can be seen that for all the solid-state lasers shown, efficient EUV generation has been obtained using several-nanosecond pulses with energies exceeding 100mJ, corresponding to peak powers in the multi-megawatt range. Such pulse energies, however, pose a significant problem for fiber lasers, which have not yet been able to achieve 100-mJ pulses at such pulse durations due to the relatively small transversal mode size of the fiber gain medium. Consequently, the strategy to utilize a fiber laser for efficient EUV generation will be distinctly different from that of other laser types, since the operational-parameter space accessible with a fiber laser is very different from those shown in Table 1. One path towards achieving the required intensities with a fiber laser would be to exploit the fact that fiber lasers can provide much shorter pulses with near diffraction-limited beam quality, and that key parameters of the pulses (such as duration, shape and repetition rate) can be electronically controlled [14]. Recently we have demonstrated high peak power and high energy with good beam quality from a fiber amplifier system based on a large core Yb-doped fiber [14], which could produce the required optimum intensities for efficient EUV generation. However, since the efficiency of in-band EUV generation of laser-produced plasma is highly dependent on the plasma conditions [4], which in general is a complex function of laser irradiation parameters such as intensity, pulse duration, pulse temporal shape, energy, spot size and wavelength of the laser, the suitability of fiber lasers for achieving efficient EUV generation with realistic droplet targets remains an open question.

Tables Icon

Table 1. Laser parameters of solid state laser that demonstrated high conversion efficiency (CE) using tin-based target materials

Here we report a high peak power, high energy, and high beam-fidelity fiber laser driver with controllable pulse parameters designed for efficient 13.5nm in-band EUV generation with sub-10-mJ pulse energies. With this system we demonstrate >2% in-band EUV conversion efficiency from Sn-doped droplet targets, compatible with lithography stepper requirements. Despite the significantly lower energy of pulsed-fiber lasers compared to solid state lasers, demonstrated CE is essentially the same as those achieved with solid-state lasers. Furthermore, the demonstrated fiber laser capability of controlling pulse shape and generating controllable pre-pulses will be instrumental in further studies of optimal laser plasma conditions for EUV generation.

2. Fiber Laser EUV Driver Design

Over the years, tin or tin-composite targets have been demonstrated to be the most efficient EUV target materials [4, 7–13]. Due to the differences in laser parameters, target formation, focusing condition and experiment apparatus, irradiation conditions (laser energy, pulse duration, intensity, focal spot size on target) at which highest conversion efficiencies are reached differ among all experiments. However, for optimum efficiency, a general trend exists for the reported intensities to be in the range of 0.5 W/cm2 to 5×1011W/cm2 and pulse durations between 1.2ns and 11.5ns. Reported target spot sizes though have a much wider range of variation from 17µm to 500µm. Consequently, the first guideline of designing a fiber laser system for efficient EUV generation is to achieve these intensities with 1–10ns pulses. Since the energy available from a nanosecond pulse fiber laser is limited, a sufficiently small focal spot size has to be used. Furthermore, it is important to match laser beam focus and target sizes to better utilize most of the target material and to reduce the generated debris. Therefore, simple calculation indicates that more than 1 MW peak power in 1–10 ns pulses focused to 20–30 µm spot should produce the optimum intensities. These spot sizes are compatible with existing Sn-droplet plasma sources. Additionally, although efficient EUV generation is not much dependent on beam quality, beams with M2<2 are desirable in order to place the focusing optics at a sufficient distance to mitigate laser-plasma debris contamination.

Achieving these pulse energies with relatively short pulses (1–10mJ for 1–10ns) requires maximizing fiber core size while maintaining good beam quality. For ytterbium doped fiber amplifier, the saturation fluence is 0.66 µJ/µm2 at 1064nm [15]. Saturation energy scales with the mode area as shown in Fig. 1(a), and the maximum extractable energy from an amplifier is a directly proportional to the logarithm of gain and saturation energy [16]. In order to extract several mJ of energy, saturation energy should be more than 1-mJ, which corresponds to a minimum mode field diameter of 44-µm. Another limitation on fiber laser peak power is the bulk damage threshold of fused silica, which for a given fluence scales as the inverse square root of pulse duration. Taking the experimentally measured bulk damage threshold in ytterbium doped fiber of 800 J/cm2 for 6.2-ns pulses [17], the scaling of the bulk damage threshold for three different pulse durations for large core fiber are shown in Fig. 1(b). The core size that can sustain a peak power of 1-MW for 5 ns pulses has to be larger than 38-µm in diameter. In practice, the core size is chosen to sustain at least twice the damage fluence in order to avoid catastrophic failure.

 figure: Fig. 1.

Fig. 1. (color online) (a) Scaling of saturation energy with fiber core size using core NA of 0.06 (b) Critical peak power for fused silica bulk damage for different core size using core NA of 0.06

Download Full Size | PDF

A larger core fiber amplifier will in general provide higher extractable energies, albeit generally at the expense of mode quality. A detailed discussion on how achievable mode quality depends on the core size in conventional large-mode area (LMA) fibers can be found in [18]. Considering the reported results of using fibers with core diameters of 50 µm, 65 µm, 80 µm, 115 µm and 200 µm (references [6,14,18,19]) and also considering the above outlined analysis, 80-µm core fiber appears to be the suitable choice as the largest core with sufficiently high achievable beam quality.

This 80-µm core fiber was used in the last stage of a four-stage Master Oscillator Power Amplifier (MOPA) Yb-doped fiber experimental system shown in Fig. 2. This system is seeded with an electric-pulse-driven Fabry-Perot semiconductor laser diode emitting at 1064 nm. Such a scheme enables versatile selection of the repetition rate, pulse duration and pulse shape. The seed pulse is pre-amplified to peak power levels greater than 1kW by two stages of all monolithic, single-mode, polarization maintaining fiber amplifiers. The preamplifier is followed by two stages of free-space coupled power amplifiers using large-mode-area fibers. Acoustic optic modulator in between preamplifier stages and narrow 1064nm bandpass filters in between power amplifier stages were used to suppress 1039-nm peak continuous-wave ASE emission. The first stage power amplifier uses a 30-µm diameter 0.06NA core LMA fiber with 250-µm diameter 0.45NA inner pump cladding and is pumped with a 50W 975-nm diode laser. Single-mode operation is achieved by coiling the fiber to a radius of 4.25 cm, with a measured mode quality of M2≈1.05.

 figure: Fig. 2.

Fig. 2. Experimental high average power pulsed fiber laser system showing fiber MOPA with Fabry-Perot (FP) diode seed. Two stages of monolithic single-mode fiber amplifier amplify the seed to kilowatt peak power level. Further amplification by two stages of large mode area (LMA) power amplifiers generates more than megawatt of peak power of nanosecond pulses with high beam quality.

Download Full Size | PDF

The final amplifier stage uses 80-µm 0.06 NA core Yb-doped LMA fiber with 400-µm diameter 0.46 NA inner pump cladding. The fiber output end is protected with a short piece of splice-on coreless fiber to prevent fiber surface damage at high peak powers. The 3.3-m long amplifier is end-pumped with the total available pump power of up to 650-W using spectrally combined 915-nm and 974-nm pump diodes. The amplifier is packaged within a water-cooled and temperature-controlled heatsink to ensure good thermal dissipation. Despite very large effective mode area (2749 µm2), the beam quality can be controlled through fiber coiling and mode matching, permitting the best output beam quality of M2≈1.3 [14]. Using a microscopic objective lens and Ophir Beamstar CCD camera, spot size on the target in the EUV generation experiment is measured to be ≈18µm at the 1/e2 diameter on the target with a 60mm focusing lens, as shown in Fig. 3.

 figure: Fig. 3.

Fig. 3. Focal spot image of ≈18µm 1/e2 diameter

Download Full Size | PDF

One of the key parameters to optimize plasma generation is the temporal shape of the pulse. It has been believed that the plasma condition is highly dependent on the temporal shape of the laser pulse but no direct evidence can be found in previous work due to absence of pulse shaping capability in solid state laser systems. In order to explore the laser temporal shape and duration dependence on plasma dynamics, a high speed computer interfaced digital arbitrary waveform generator was used as the seed diode driver. The seeder has a 200 ps rise time which enables a temporal resolution of 1-ns for pulse durations up to 4-ms and 12 bit vertical resolution providing a wide dynamic range for high contrast pulse shaping. In combination with a high power large band-width radio frequency amplifier and current doubling transformer, electrical driving current 36 times above diode threshold current allows us to generate optical pulses with a controllable contrast. All the electrical driving circuitries are properly designed to ensure bandwidth greater than 1GHz to avoid capacitive oscillation on the electrical driving signal.

It is also important to keep in mind that amplifying beyond saturation energy will come with cost of temporal deformation and a significantly lower saturated gain due to population inversion draining in the gain medium. Since the last two stages of amplification are operating in the saturated gain region, it is necessary to provide a pre-shaped seed pulse to the amplifier system for extraction of temporal-shape-controlled high energy pulses. Adaptive pulse shape control has been previously demonstrated to iteratively determine the shape of seed pulse required for a specific output pulse shape [20]. We found that such adaptive control is unnecessary. Indeed, if the small signal gain and the saturation energy of an amplifier stage is accurately known, it is possible to directly relate every output pulse shape and energy to the corresponding pulse shape and energy at the amplifier input simply by using the following formula [16],

Iin(t)=Iout(t)1+(G01)exp[t0tIout(t)dtUsat].

where Iin(t) is the input pulse power, Iout(t) is the output pulse power, G0 is the small signal gain of the amplifier, t0 is the beginning of the pulse and Usat is the saturation energy of the amplifier. Experimental evidence of its accuracy is presented in Fig. 4, where a measured 5-mJ amplified pulse profile (dashed line) is shown together with the corresponding measured input pulse profile (solid line), as well as the reconstructed input pulse profile (marked line) calculated from the measured output shape by using eqn.1. A good agreement between the measured and numerically reconstructed input pulse shapes verifies this relatively simple but effective approach of predicting the required input pulse shape for achieving a desired amplified pulse shape. Note that in this example, the amplified pulse energy is approximately 2.8 times larger than the saturation energy of the amplifier, i.e. the amplifier is operated under strongly saturated gain conditions.

 figure: Fig. 4.

Fig. 4. Example of numerically obtaining the input seed puls including the effect of saturated gain from an output pulse of known shape and energy. Input pulse shape can be determined by knowing the saturation energy and the small signal gain of the amplifier. The example shown here is for 5-mJ 1064-nm amplified output pulse from a 80-µm Yb-doped fiber amplifier. Saturation energy used in the calculation is 1.8 mJ and the measured small signal gain is 20dB. Note that the peak power of the pulses in this figure is not to scale.

Download Full Size | PDF

Furthermore, this approach for a single stage amplifier can be further extended to calculate the required input seed pulse at the input of a multiple stage fiber amplifier in which all the saturation energies and small signal gains are known for each stage (together with the accurate knowledge of all the losses in various fiber-optic components between the stages). Figure 5(a) shows an example of an amplified pulse profile at the output of the four-stage amplifier and Fig. 5(b) shows the corresponding actually measured (solid line) and numerically predicted (marked line) seed pulses. Again, the good agreement on the measured and numerically predicted seed-pulse shape validates the direct approach based on eqn. 1.

 figure: Fig. 5

Fig. 5 (a) Amplified pulse after final stage of amplifier reshaped due to gain saturation, (b) Pre-shaped pulse temporal profile from FP seed diode and predicted pulse shape considering cascaded shaping effect for each stage of amplifier

Download Full Size | PDF

Important advantageous properties resulting from using arbitrary waveform generator is the ability to introduce pre-pulses with programmable delay, controllable contrast and pulse duration. It had been demonstrated that pre-pulses could improve the EUV conversion efficiency by creating pre-plasma, which would be more effectively heated by the main irradiating laser pulse [12]. However, most of the previous work with pre-pulses use lasers of two different wavelengths for pre-pulse and main-pulse generation, typically spectrally combining lasers of 532nm and 1064nm at a low repetition rate [12, 21] or utilizing a beam splitter along with a variable optical delay line [22]. However, such an approach will increase the complexity and cost of the system if pre-pulses are needed for optimum conversion efficiency. Also, control of the delay and contrast would have to be manually adjusted and the separation limited by the distance of the delay line. Pre-pulses and main-pulse from a single laser emitter can be achieved with our seed driving scheme while having full control on temporal parameters of all pulses. Examples of temporal profiles with pre-pulses were shown in Fig. 6 with different delays and peak power contrasts. Despite generation of pre-pulses, energy in the main pulse is still maintained close to 6mJ.

 figure: Fig. 6.

Fig. 6. Examples of amplified pulse with pre-pulses of different contrast and delay: (a) prepulse to main pulse ratio 1:33 with 5.74mJ in the main pulse, (b) pre-pulse to main pulse ratio 1:1.27 with 5.58mJ in the main pulse.

Download Full Size | PDF

Another important advantage of arbitrary waveform generation is the ability to tailor the output pulse in such a way to alleviate nonlinear effects. If a square pulse is seeded to the amplifier, it is very easy to reach the critical peak power of SRS since the leading edge of the pulse is amplified more relative to the remainder of the pulse due to draining of the population inversion [16]. By pre-shaping the seed pulse with a slow-rising leading edge as shown in Fig. 5(b), we were able to compensate the gain saturation effect and produce a triangular output pulse shape (Fig. 5(a)) with energy up to 6mJ per pulse, more than three times of the saturation energy while maintaining close to MW peak power. Additionally, the physical limitation of energy extraction is the maximum available energy governed by the small signal gain of the amplifier and the saturation energy [16]. In the last stage of the system, a measured small signal gain of 20dB gives the extractable energy to be 8.29mJ in the last stage of amplification. However, higher energy extraction will come at the expense of lower gain. Operating under saturation, we are still able to retain 13dB of gain while extracting 72% of the available energy from the last stage amplifier.

3. Experimental Setup for Efficient EUV Source Demonstration

The experimental setup for demonstrating efficient EUV generation is shown in Fig. 7. The output of the fiber laser beam is collimated by a telescope through a high-power polarization-insensitive isolator to prevent feedback from laser produced plasma. Another telescope expands the beam to fill the focusing lens, located inside the vacuum chamber with vacuum level below 10-3 Torr pumped by a turbo pump backed up by a roughing pump.

A UCF Tin-doped water droplet target [23] was used to demonstrate a realistic target source. Compared to solid tin, tin-doped droplets are advantageous with less debris generation and high repetition rate compatibility. Both are desired qualities for a practical high power EUV lithography source for longer collector lifetime and high-power scalability. Temporal synchronization between the droplet target and fiber-laser pulse is controlled with an external delay generator with RMS timing jitter less than 60 ps. The emission from fiber-laser-produced-plasma was monitored by two diagnostic tools, an EUV spectrometer and Flying Circus EUV detector. The detailed specifications of the diagnostic tools are described in [4].

 figure: Fig. 7.

Fig. 7. UCF experimental setup showing tin-doped droplet source, EUV spectrometer and Flying Circus EUV spectrometer.

Download Full Size | PDF

In the first round of experiments, an optimization study was conducted at a lower repetition rate of 1.75 kHz, corresponding to an average output power of more than 10W from the laser. Scaling of the average power output could be easily achieved by increasing the repetition rate of the laser. Peak power up to 1.7MW was tested by using 1.7-ns pulses with 2.9mJ of energy, corresponding to the highest intensity level available at 6.7×1011W/cm2 on the target.

4. Experimental Results

Figure 8(a) shows the best spectrum from fiber-laser-produced-plasma with tin-doped water droplet targets. The spectral feature has three sharp emissions from O5+ at 12.97nm, 15nm and 17.3nm, which were also used for spectrum calibration. Centered around 13.5nm, tin unresolved transition array (UTA) has spectral width around 1-nm FWHM. In Fig. 8(b), it can be seen that the photon counts increase significantly when the laser intensity was increased from low 1010W/cm2 to high 1010W/cm2, along with the spectral peak of tin UTA shifting from longer wavelength to shorter wavelength. The photon counts start dropping once the intensity is higher than 8×1010W/cm2 indicating that the optimum intensity for the highest conversion efficiency is achieved at this level. All the spectra shown are averaged with five spectral images, each with one second of exposure time, corresponding to averaging over more than 8500 laser shots for each spectrum shown.

To ensure consistent calibration for the Mo-Si multilayer mirror for which the reflectivity degrades by plasma debris coating, conversion efficiency was estimated by a spectrum crossed-calibrated with the Flying Circus energy meter [4]. During the same experimental run, EUV energies are measured using the energy meter along with several spectra at the same time as the reference spectra. EUV energy at other irradiation conditions was then calculated by comparing photon counts and spectral shape in the Mo/Si reflection band to those of the reference spectra. The highest conversion efficiency achieved was 2.07% at a intensity of 8.4×1010W/cm2. Beyond this intensity level, conversion efficiency becomes lower. The drop in the conversion efficiency indicates that the optimum condition for EUV generation is reached within the parameter space of the fiber laser.

 figure: Fig. 8.

Fig. 8. (Color online) Spectrum showing emission from tin-doped water droplet target (a) On the top shows the image of the X-ray CCD camera, spectra at different intensity levels are shown in (b)

Download Full Size | PDF

5. Conclusions

A fiber laser driver with high peak power, high energy, high beam-quality and controllable pulse parameters was developed to demonstrate efficient high power fiber-laser-produced-plasma EUV generation with a Sn-doped droplet source. Careful selection of the core size enables megawatts of peak power in several-nanosecond pulses while maintaining mode quality close to single-transverse mode. Use of an arbitrary waveform generator for controlling the laser-diode seeder enables active pulse temporal shaping including formation of pre-pulses under saturated gain conditions in a cascaded fiber amplifier chain. Energy of up to 6.2 mJ with peak powers exceeding 1 MW was extracted from a 80µm core fiber amplifier. Average power can be further scaled to hundreds of watts by increasing the repetition rate of the system and the pump power.

Experiments with practical tin-doped droplets targets verify the suitability of fiber lasers for driving high-efficiency and high-power EUV sources despite its much lower energy level. More than 2% of conversion efficiency is obtained operating at 1.75 kHz with the fiber laser power more than 10W at the initial state of experiment. Decrease of conversion efficiency at higher intensity also indicates that fiber-laser is capable of generating irradiation condition optimum for efficient 13.5nm EUV generation. Achieved conversion efficiency is the same as that obtained using a Q-switched solid-state laser, despite the fact that energy and peak power of the solid-state laser is higher than those of the fiber laser.

This successful demonstration of high conversion efficiency with relatively low energy pulses constitutes the first step on a technological path towards fiber laser driven high power EUV sources for high throughput lithography steppers. Indeed, due to the limitations on the maximum droplet-source repetition rate and on the maximum pulse energy extractable from a single fiber amplifier, it is likely that a single-fiber EUV driver can be scaled to hundreds of watts only. For achieving ~200W of 13.5nm EUV power at the intermediate focus (current requirement for production-worthy lithography steppers) the required total laser power should be in the 10kW to >20kW range. Consequently, these powers can only be achieved by spectral and spatial multiplexing techniques, work on which is currently in progress. Furthermore, new fiber technologies are also being developed to enable monolithically pumped high power and high pulse energy fiber laser systems.

Acknowledgement

The authors greatly appreciate the valuable discussions with M. Craig Swan, Quoqing Chang, and Kai-Hsiu Liao. The authors would also like to acknowledge the following sponsors for the support of laser development work: SRC(AMD), JTO, MRI, Cymer and AMD. The work in the UCF is supported by SRC (Intel), DoE, Cymer, AMD and the State of Florida.

References

1. E. A. Gibson et al, “Coherent Soft X-ray Generation in the Water Window with Quasi-Phase Matching,” Science 302, 95–98 (2003). [CrossRef]   [PubMed]  

2. D. Attwood, Soft X-Rays and Extreme Ultraviolet Radiation: Principles and Applications, (Cambridge University Press, Berkeley, 2000)

3. R. H. Stulen, “13-nm Extereme Ultraviolet Lithography,” J. Sel. Top. Quantum Electron. 1, 970–975 (1995). [CrossRef]  

4. C-S. Koay, S. George, and K. Takenoshita et al, “High conversion efficiency microscopic tin-doped droplet target laser-plasma source for EUVL,” Proc. of SPIE 5751, 279–292 (2005). [CrossRef]  

5. D. C. Brandt, I. V. Fomenkov, A. I. Ershov, W. N. Partlo, D. W. Myers, N. R. Böwering, A. N. Bykanov, G. O. Vaschenko, O. V. Khodykin, J. R. Hoffman, E. Vargas L., R. D. Simmons, J. A. Chavez, and C. P. Chrobak, “LPP EUV Source Development for HVM,” Proc. of SPIE 6517, 65173J-1 (2007).

6. A. G. Mordovanakis, K. -C. Hou, Y. -C. Chang, M. -Y. Cheng, J. Nees, B. Hou, A. Maksimchuk, G. Mourou, A. Galvanauskas, and B. Lafontaine, “Demonstration of fiber-laser-produced plasma source and application to efficient extreme UV light generation,” Opt. Lett. 31, 2517–2519 (2006). [CrossRef]   [PubMed]  

7. S. A. George, W. T. Silfvast, K. Takenoshita, R. T. Bernath, C. -S. Koay, G. Shimkaveg, and M. C. Richardson, “Comparative extreme ultraviolet emission measurements for lithium and tin laser plasmas,” Opt. Lett. 32, 997–999 (2007). [CrossRef]   [PubMed]  

8. R. C. Spitzer, T. J. Orzechowski, D. W. Phillion, R. L. Kauffman, and C. Cerjan, “Conversion efficiencies from laser-produced plasmas in the extreme ultraviolet regime,” J. Appl. Phys. 79, 2251–2258 (1996). [CrossRef]  

9. P. A. C. Jansson, B. A. M. Hansson, O. Hemberg, M. Otendal, A. Holmberg, J. de Groot, and H. M. Hertz, “Liquid-tin-jet laser-plasma extreme ultraviolet generation,” Appl. Phys. Lett. 84, 2256–2258 (2004). [CrossRef]  

10. H. Tanaka, A. Matsumoto, K. Akinaga, A. Takahashi, and T. Okada, “Comparative study on emission characteristics of extreme ultraviolet radiation from CO2 and Nd:YAG laser-produced tin plasmas,” Appl. Phys. Lett. 87, 041503 (2005). [CrossRef]  

11. T. Ando, S. Fujioka, H. Nishimura, N. Ueda, Y. Yasuda, K. Nagai, T. Norimatsu, M. Murakami, K. Nishihara, N. Miyanaga, Y. Izawa, K. Mima, and A. Sunahara, “Optimum laser pulse duration for efficient extreme ultraviolet light generation from laser-produced tin plasmas,” Appl. Phys. Lett. 89, 151501(2006) [CrossRef]  

12. T. Okuno, S. Fujioka, a H. Nishimura, Y. Tao, K. Nagai, Q. Gu, N. Ueda, T. Ando, K. Nishihara, T. Norimatsu, N. Miyanaga, Y. Izawa, K. Mima, A. Sunahara, H. Furukawa, and A. Sasaki, “Low-density tin targets for efficient extreme ultraviolet light emission from laser-produced plasmas,” Appl. Phys. Lett. 88, 161501 (2006). [CrossRef]  

13. Y. Tao, S. S. Harilal, M. S. Tillack, K. L. Sequoia, B. O’Shay, and F. Najmabadi, “Effect of focal spot size on in-band 13.5 nm extreme ultraviolet emission from laser-produced Sn plasma,” Opt. Lett. 31, 2492–2494 (2006). [CrossRef]   [PubMed]  

14. K.-C. Hou et al, “Multi-MW Peak Power Scaling of Single-Transverse Mode Pulses using 80-µm Core Yb-doped LMA Fibers,” presented in Post Deadline Paper session at Advanced Solid-State Photonics (Optical Society of America, 2006)

15. C. C. Renaud, H. L. Offerhaus, J. A. Alvarez-Chavez, J. Nilsson, W. A. Clarkson, P. W. Turner, D. J. Richardson, and A. B. Grudinin, “Characteristics of Q-Switched Cladding-Pumped Ytterbium-Doped Fiber Lasers with Different High-Energy Fiber Designs,” IEEE J.Quantum Electron. 37, 199–206 (2001). [CrossRef]  

16. A. E. Siegman, Laser, (Unversity Science Books, Sausalito, CA, 1986), Chap. 10.

17. S. Webster, F.C. McDonald, A. Villanger, M.J. Soileau, E.W. Van Stryland, D.J. Hagan, B. McIntosh, W. Torruellas, J. Farroni, and K. Tankala, “Optical damage measurements for high peak power ytterbium doped fiber amplifiers,” Proc. SPIE 5991, 599115 (2005). [CrossRef]  

18. A. Galvanauskas, M.-Y. Cheng, K.-C. Hou, and K.-H. Liao, “High Peak Power Pulse Amplification in Large-Core Yb-Doped Fiber Amplifiers,” IEEE J. Sel. Top. Quantum Eletron. 13, 559–566 (2007). [CrossRef]  

19. A. Galvanauskas, “Mode-scalable fiber-based chirped pulse amplification systems,” IEEE J. Sel. Top. Quantum Electron. 7, 504–517 (2001). [CrossRef]  

20. K. T. Vu, A. Malinowski, D. J. Richardson, F. Ghiringhelli, L. M. B. Hickey, and M. N. Zervas, “Adaptive pulse shape control in a diode-seeded nanosecond fiber MOPA system,” Opt. Exp. 14, 10996–11001, 2006 [CrossRef]  

21. S. Usterer, H. Schwoerer, W. Ziegler, D. Salzmann, and R. Sauerbrey, “Effects of a prepulse on laser-induced EUV radiation conversion efficiency,” Appl. Phys. B 76, 17–21 (2003). [CrossRef]  

22. P. Dunne, G. O’Sullivan, and D. O’Reilly, “Prepulse-enhanced narrow bandwidth soft x-ray emission from a low debris, subnanosecond, laser plasma source,” Appl. Phys. Lett. 76, 34–36 (2000). [CrossRef]  

23. F. Jin, K. Gabel, M. Richardson, M. Kado, A. F. Vasil’ev, and D. Salzmann, “Mass limited laser plasma cryogenic target for 13 nm point x-ray sources for lithography,” Proc. SPIE 2015, 151–159 (1993). [CrossRef]  

Cited By

Optica participates in Crossref's Cited-By Linking service. Citing articles from Optica Publishing Group journals and other participating publishers are listed here.

Alert me when this article is cited.


Figures (8)

Fig. 1.
Fig. 1. (color online) (a) Scaling of saturation energy with fiber core size using core NA of 0.06 (b) Critical peak power for fused silica bulk damage for different core size using core NA of 0.06
Fig. 2.
Fig. 2. Experimental high average power pulsed fiber laser system showing fiber MOPA with Fabry-Perot (FP) diode seed. Two stages of monolithic single-mode fiber amplifier amplify the seed to kilowatt peak power level. Further amplification by two stages of large mode area (LMA) power amplifiers generates more than megawatt of peak power of nanosecond pulses with high beam quality.
Fig. 3.
Fig. 3. Focal spot image of ≈18µm 1/e2 diameter
Fig. 4.
Fig. 4. Example of numerically obtaining the input seed puls including the effect of saturated gain from an output pulse of known shape and energy. Input pulse shape can be determined by knowing the saturation energy and the small signal gain of the amplifier. The example shown here is for 5-mJ 1064-nm amplified output pulse from a 80-µm Yb-doped fiber amplifier. Saturation energy used in the calculation is 1.8 mJ and the measured small signal gain is 20dB. Note that the peak power of the pulses in this figure is not to scale.
Fig. 5
Fig. 5 (a) Amplified pulse after final stage of amplifier reshaped due to gain saturation, (b) Pre-shaped pulse temporal profile from FP seed diode and predicted pulse shape considering cascaded shaping effect for each stage of amplifier
Fig. 6.
Fig. 6. Examples of amplified pulse with pre-pulses of different contrast and delay: (a) prepulse to main pulse ratio 1:33 with 5.74mJ in the main pulse, (b) pre-pulse to main pulse ratio 1:1.27 with 5.58mJ in the main pulse.
Fig. 7.
Fig. 7. UCF experimental setup showing tin-doped droplet source, EUV spectrometer and Flying Circus EUV spectrometer.
Fig. 8.
Fig. 8. (Color online) Spectrum showing emission from tin-doped water droplet target (a) On the top shows the image of the X-ray CCD camera, spectra at different intensity levels are shown in (b)

Tables (1)

Tables Icon

Table 1. Laser parameters of solid state laser that demonstrated high conversion efficiency (CE) using tin-based target materials

Equations (1)

Equations on this page are rendered with MathJax. Learn more.

I in ( t ) = I out ( t ) 1 + ( G 0 1 ) exp [ t 0 t I out ( t ) dt U sat ] .
Select as filters


Select Topics Cancel
© Copyright 2024 | Optica Publishing Group. All rights reserved, including rights for text and data mining and training of artificial technologies or similar technologies.