Expand this Topic clickable element to expand a topic
Skip to content
Optica Publishing Group

Determination of optical constants of thin films in the EUV

Open Access Open Access

Abstract

The determination of fundamental optical parameters is essential for the development of new optical elements such as mirrors, gratings, or photomasks. Especially in the extreme ultraviolet (EUV) and soft x-ray spectral range, the existing databases for the refractive indices of many materials and compositions are insufficient or are a mixture of experimentally measured and calculated values from atomic scattering factors. Since the physical properties of bulk materials and thin films with thicknesses in the nanometer range are not identical, measurements need to be performed on thin layers. In this study we demonstrate how optical constants of various thin film samples on a bulk substrate can be determined from reflection measurements in the EUV photon energy range from 62 eV to 124 eV. Thin films with thickness of 20 nm to 50 nm of pure Mo, Ni, Pt, Ru, Ta, and Te and different compositions of ${\rm{N}}{{\rm{i}}_x}{\rm{A}}{{\rm{l}}_x}$, PtTe, ${\rm{P}}{{\rm{t}}_x}{\rm{Mo}}$, ${\rm{R}}{{\rm{u}}_x}{\rm{T}}{{\rm{a}}_x}$, ${\rm{R}}{{\rm{u}}_3}{\rm{Re}}$, ${\rm{R}}{{\rm{u}}_2}{\rm{W}}$, and TaTeN were prepared by DC magnetron sputtering and measured using EUV reflectometry. The determination optical constants of the different materials are discussed and compared to existing tabulated values.

© 2022 Optica Publishing Group

1. INTRODUCTION

The complexity of functional nanostructures is constantly increasing in the semiconductor industry, and a key enabler for upcoming technologies beyond the 10 nm semiconductor node is new materials. Specifically, metals such as Pt, Te, Mo, and Ru start to play a central role as absorber materials in photolithography, for capping layers or as a means of thermal or electric contacts [14]. Their alloys are used to tune the material’s properties to the desired values and therefore must be studied beforehand, which highlights the need for a precise and reliable determination of the physical constants of those thin film materials.

The precise measurement of optical properties in the extreme ultraviolet (EUV) regime around 91.85 eV/13.5 nm is still a challenge for the development of optical components such as photomasks or mirrors for EUV lithography [57]. Due to the short wavelength in this spectral regime, contamination, oxidation, or surface roughness in the nanometer range will affect the optical response of a sample much stronger than, for instance, at optical wavelengths. Such effects can be the result of chemical processes or contamination by process gases during the manufacturing or just a consequence of the grain structure of thin film samples. The most important material property for optical applications is the complex refractive index $\tilde n = n + ik = 1 - \delta - i\beta$, which, in the EUV and x-ray regime above 10 eV, is typically given in terms of $\delta$, describing the dispersion, or refractive power, and $\beta$, describing the extinction of the incident radiation. Since the real part $n = 1 - \delta$ of refractive index $\tilde n$ is generally close to 1 and the imaginary part $k = - \beta$ is relatively large, the design of optical components for EUV applications is nontrivial [8,9]. An estimation of the optical properties of compound materials can be retrieved from the well-established representation in the form of atomic scattering factors [10], but it is not to be confused with actual spectral measurements of the optical constants. Here, a typical challenge is that the mass density of the compound materials is not known for the deposited thin films, which impacts the refractive index strongly. Thin film samples typically have a lower density than bulk samples, which is due to their more poly- and nanocrystalline structure, and due to more prominent surface effects. These effects are hard to predict, especially for compound materials. The most common way to determine optical constants in the x-ray range is through transmission experiments, which measure the absorption of the radiation directly [11]. Using the Kramers–Kronig relation, the complex refractive index can be determined from a measurement of the absorption. Since the penetration depth in the EUV regime is very low, ultra-thin and freestanding films are required for this type of measurement, which has an impact on the accuracy. Another major problem for transmission mode measurements is that the optical properties extracted from freestanding thin films are not always identical to thin layers within stratified systems as they are used in optical components. Measurements on samples that represent the structure of a real optical component, such as thin films on a substrate, are therefore much more promising. Such can be studied by EUV reflectometry where the reflectance is measured as a function of wavelength and angle of incidence [1214]. In the x-ray spectral range, reflectometry (XRR) is an established method to determine the thickness of thin films [1517] and can also be used to determine the optical constants. EUV reflectometry itself is as easy to realize as a transmission mode experiment, but the interpretation of the results is more complicated.

 figure: Fig. 1.

Fig. 1. (a) Sketch of the experimental setup for EUV reflectometry at the soft x-ray beamline of the PTB at the synchrotron radiation facility BESSY II. During a measurement, the angle of incidence ${\theta _i}$ is scanned from grazing to near normal whilst adjusting the position of the photodiode ${\theta _f}$ to detect the specular reflection. The energy is scanned from 62 eV to 124 eV to cover the relevant EUV parts. The setup is operated under vacuum conditions in a lubricant-free environment. (b) Example of an EUV reflectance map, obtained from a Ta thin film on a silicon wafer substrate. The information on optical constants and the sample parameters such as layer thickness and roughness are encoded in the characteristic intensity modulations of the reflectance map.

Download Full Size | PDF

We present here our results of reflection measurements on thin films of the pure elements Mo, Ni, Pt, Ru, Ta, and Te and different compositions of ${\rm{N}}{{\rm{i}}_x}{\rm{A}}{{\rm{l}}_x}$, ${\rm{P}}{{\rm{t}}_x}{\rm{Mo}}$, PtTe, ${\rm{R}}{{\rm{u}}_x}{\rm{T}}{{\rm{a}}_x}$, ${\rm{R}}{{\rm{u}}_3}{\rm{Re}}$, ${\rm{R}}{{\rm{u}}_2}{\rm{W}}$, TaBN, and TaTeN in the range of 62 eV to 124 eV around the energy of 91.85 eV/13.5 nm, which is important for EUV lithography [5]. Dispersion and extinction of these materials are determined through model fits that consider the effects of interdiffusion, surface contamination, and roughness, using a transfer matrix approach [1820]. The procedure itself is similar to spectroscopic ellipsometry in the optical regime using the Müller-matrix approach for data analysis [2123].

2. EXPERIMENTAL DETAILS

A. EUV Reflectometry

The experiments were conducted in the Physikalisch-Technische Bundesanstalt (PTB) laboratory at the electron storage ring Berliner Elektronenspeicherring-Gesellschaft für Synchrotronstrahlung (BESSY II) at PTB’s soft x-ray beamline [24,25], which covers the photon energy range from 50 eV to 1800 eV. The beamline is designed for a beam with small divergence (regularly below 1 mrad) and minimal halo. The monochromator of the beamline provides a spectral resolution below 0.25 eV. To suppress higher orders, different foil filters (C, B, Be, Si, and Al) have been used, depending on the spectral range [25]. The experimental geometry inside the reflectometer is illustrated in Fig. 1(a). A monochromatic beam with the photon energy $h\nu$ impinges on the sample surface at a variable angle of incidence (aoi) ${\theta _i}$. The elastically scattered wave propagates along the exit angle ${\theta _f}$, where the specular reflectance (${\theta _f} = {\theta _i}$) from the sample is measured in $s$-polarization with a GaAsP photodiode. A lubricant-free goniometer inside the vacuum chamber allows for precise rotation and positioning of the samples, aligning the angle of incidence ${\theta _i}$ with an uncertainty of ${\pm}{0.01^ \circ}$ with respect to the incoming beam. An example of an EUV reflectance map, obtained on a Ta sample, is given in Fig. 1(b). The excellent signal-to-noise ratio allows us to resolve the data within more than four orders of magnitude.

B. Sample Preparation

Mo, PtMo, ${\rm{P}}{{\rm{t}}_2}{\rm{Mo}}$, Pt, PtTe, Te, Ni, ${\rm{N}}{{\rm{i}}_3}{\rm{Al}}$, NiAl, ${\rm{N}}{{\rm{i}}_2}{\rm{A}}{{\rm{l}}_3}$, Ru, ${\rm{R}}{{\rm{u}}_3}{\rm{Re}}$, ${\rm{R}}{{\rm{u}}_3}{\rm{Ta}}$, ${\rm{R}}{{\rm{u}}_2}{\rm{W}}$, RuTa, ${\rm{RuT}}{{\rm{a}}_3}$, and Ta samples were produced on a silicon wafer substrate using DC magnetron sputtering, which resulted in polycrystalline thin films with nominal thicknesses of 20 nm to 50 nm. The base pressure of the sputter systems was about ${10^{- 7}}\; {\rm{mbar}}$, with sputtering performed using argon as process gas at working pressures between ${10^{- 4}}\; {\rm{mbar}}$ and $5 \times {10^{- 3}}\; {\rm{mbar}}$. Alloys were produced through co-depositing the constituents from separate targets, placed on a rotating wafer drum, resulting in sequential deposition of monolayers and instantaneous intermixing. The TaTeN sample was deposited by reactive co-sputtering of Ta and Te targets in a nitrogen/argon mixture atmosphere. Many different powers, flow rates, gas mixtures, and gas pressures were tried in the process chamber to optimize the compound. The hysteresis effect between the metallic and the poisoned mode was carefully followed by monitoring the cathode voltage. The final composition contained 1:1.45 tantalum and tellurium with below 5% nitrogen content, serving as dopant to reduce oxidation and crystallinity of the sample [3]. The TaBN sample is an industry-representative EUV mask blank, which is commercially available [26]. The composition of the samples was checked by x-ray photoelectron spectroscopy (XPS) or Rutherford backscattering spectrometry (RBS). The typical resulting surface roughness for the samples was classified to be smaller than 0.1 nm (rms) by atomic force microscopy. Diffusion of atoms from the thin film into the substrate leads to an interdiffusion layer of few angstroms thickness at the interface between the deposited material and the substrate. On the surface of the samples, an oxide layer is formed, on top of which an additional contamination layer consisting mainly of water and carbon is found [27]. Depending on the material and the sample’s history, an interdiffusion layer and oxide layer built up in varying degrees and therefore had different impacts on the reflectivity of the samples. We find that the thickness of the oxide and the contamination layer varies between almost 0 and 2 nm.

3. THEORETICAL BACKGROUND

EUV reflectometry yields the reflection coefficient $R$ of a sample as a function of the angle of incidence and the photon energy. In the case of a thin film on a substrate, the free parameters are the layer thicknesses ${h_j}$, the optical constants of the materials $({\delta _j},{\beta _j})$, and the roughness parameters of the interfaces ${\sigma _j}$. Fresnel’s equations allow us to calculate reflectivity and transmission at each interface depending on the optical constants, the angle of incidence, and the polarization. Multiple reflections and subsequent passes through the sample lead to interference that determines the total reflectivity of the sample.

A. Matrix Method

The optical properties of thin film samples under monochromatic illumination can be calculated using a transfer matrix approach [12,18,20]. An electromagnetic, monochromatic plane wave can be described by its amplitude $A$ and the $k$ vector. Following Vignaud and Gibaud [20], we use a notation of upwards (${+}$) and downwards (${-}$) traveling waves in the $z$ direction:

$${u^ \pm}(z) = A_j^ \pm \exp (\pm i{k_{z,j}}z),$$
which fully describe the state of the system in every layer $j$ (cf. Fig. 1) at the vertical position $z$. The wave in vacuum, outside the full stack of layers, is given by the following equation:
$$\left[{\begin{array}{*{20}{c}}{{u^ +}({z_{vac.}})}\\{{u^ -}({z_{vac.}})}\end{array}} \right] = {\textbf{M}} \cdot \left[{\begin{array}{*{20}{c}}{{u^ +}({z_{\rm{substrate}}})}\\{{u^ -}({z_{\rm{substrate}}})}\end{array}} \right],$$
which contains the $2 \times 2$ transfer matrix ${\textbf{M}}$, defined as a product of reflection (${{\textbf{R}}_{j/k}}$) and transmission (${{\textbf{T}}_j}$) matrices [20]. For a thin film of a specific material (mat.) on a substrate, including a contamination layer (cont.), an oxide layer (ox.), and an interdiffusion layer (diff.), this transfer matrix reads
$$\begin{split}{\textbf{M}}& = {{\textbf{R}}_{vac./cont.}} \cdot {{\textbf{T}}_{cont.}} \cdot {{\textbf{R}}_{cont./ox.}} \cdot {{\textbf{T}}_{ox.}} \cdot {{\textbf{R}}_{ox./mat.}} \cdot {{\textbf{T}}_{mat.}} \\&\quad \cdot{{{\textbf{R}}_{mat./diff.}} \cdot {{\textbf{T}}_{diff.}} \cdot {{\textbf{R}}_{diff./subs.}}}\end{split}$$

Further layers can be incorporated by additional transmission and reflection terms. A transmission matrix ${\textbf{T}}$ has diagonal form, accounting for absorption and accumulated spectral phase inside the material:

$${{\textbf{T}}_j} = \left[{\begin{array}{*{20}{c}}{\exp (i{k_{z,j}}{h_j})}&0\\0&{\exp (- i{k_{z,j}}{h_j})}\end{array}} \right],$$
with ${h_j}$ being the thickness of the respective layer. The reflection matrix is calculated from the Fresnel coefficients of the individual interfaces:
$${{\textbf{R}}_{j/k}} = \left[{\begin{array}{*{20}{c}}{{p_{j/j - 1}}}&{{m_{j/j - 1}}}\\{{m_{j/j - 1}}}&{{p_{j/j - 1}}}\end{array}} \right],$$
with the following coefficients:
$$\begin{split}{p_{j/j - 1}} &= \frac{{{k_{z,j}} + {k_{z,j - 1}}}}{{2{k_{z,j}}}} \cdot \exp \left({- \frac{1}{2}{{({k_{z,j}} + {k_{z,j - 1}})}^2} \cdot s_{j/j - 1}^2} \right), \\ {m_{j/j - 1}} &= \frac{{{k_{z,j}} - {k_{z,j - 1}}}}{{2{k_{z,j}}}} \cdot \exp \left({- \frac{1}{2}{{({k_{z,j}} - {k_{z,j - 1}})}^2} \cdot s_{j/j - 1}^2} \right).\end{split}$$

Imperfections at the interfaces reduce the specular signal. This effect is included here by the exponential term, introducing the interfacial roughness parameter ${s_{j/j - 1}}$ between material $j$ and $(j - 1)$ [19]. The optical constants of the materials themselves are encoded in the $z$ component of the wave vectors inside the materials via the refractive index ${\tilde n_j}$ of layer $j$: ${k_{z,j}} = {\tilde n_j} \cdot {k_{z,vac}}$.

To this end, the reflection coefficient $R$ is the ratio of the incoming and outgoing intensity in vacuum $R = \frac{{{I_{\rm{out}}}}}{{{I_{\rm{in}}}}}$, calculated from the upwards and downwards traveling plane wave solutions of Eq. (2). After a sufficient distance, the absorption of a bulk substrate would always cancel out all downwards traveling waves ${u^ -}({z_{\rm{substrate}}})$, so upwards traveling waves within the substrate ${u^ +}({z_{\rm{substrate}}})$ do not exist either. Therefore, the reflectivity calculates from only two entries of ${M_{\textit{ij}}}$:

$$R = \frac{{{I_{\rm{out}}}}}{{{I_{\rm{in}}}}} = {\left| {\frac{{{u^ +}({z_{vac.}})}}{{{u^ -}({z_{vac.}})}}} \right|^2} = {\left| {\frac{{{M_{12}}}}{{{M_{22}}}}} \right|^2}.$$

This relationship can be used to calculate the reflectivity of a layered system as a function of photon energy $h\nu$ and angle of incidence $\theta$ with the material properties and layer thicknesses as parameters.

 figure: Fig. 2.

Fig. 2. (a) Simulated EUV reflectance map of the measurement in Fig. 1(b) of a Ta film on silicon. The dashed cross sections beside and below the main panel provide direct comparison with the measured data (circles). (b) Fitted optical constants of the Ta film over the measured spectral range.

Download Full Size | PDF

B. Optimization Problem

A typical EUV reflectance data set consists of around 45 angles of incidence between near normal and close to 90° at every given photon energy. In a stack of four layers (interdiffusion layer, material of interest, oxide layer, contamination layer) on a substrate (cf. Fig. 1), and assuming that the substrate’s optical constants are known, there are $4 \times 2$ optical constants, five roughness parameters, and four thickness parameters. In the chosen model, the roughness parameters are independent of the wavelength; therefore there are eight unique parameters ($4 \times \delta$ and $4 \times \beta$) at each photon energy, and further nine parameters ($5 \times s$, $4 \times h$) for the global data set across the entire spectrum. Note that the optical constants of all layers are subject to a free-floating fit without further assumptions on them. At 80 measured photon energies, this means that 649 parameters must be determined on a basis of 3600 data points. The optimization problem is defined as follows:

$$\mathop {\min}\limits_{p(\ldots)} \left[{\sum\limits_{\nu ,\theta} \frac{{{{\left| {{R_{calc.}}(\nu ,\theta) - {R_{meas.}}(\nu ,\theta)} \right|}^2}}}{{\sigma _{\nu ,\theta}^2}}} \right],$$
where the calculated values ${R_{calc.}}$ follow Eq. (6) and the parameters are $p = \{{\delta _{j,\nu}};{\beta _{j,\nu}};{s_j};{h_j}\}$. The values for the measurement uncertainties ${\sigma _{\nu ,\theta}}$ for all angles and energies were determined from the experimental circumstances [24]. Although the optimization problem in formula (7) could be solved directly, it is more efficient to break it down into two smaller optimization problems. For a fixed set of layer thicknesses and interfacial roughnesses $\{{h_j};{s_j}\}$ as “outer” parameters, the optical constants $\{{\delta _{j,\nu}},{\beta _{j,\nu}}\}$ as “inner” parameters of the optimization problem can be determined individually for all photon energies using a Levenberg–Marquandt algorithm [28]. Thus, we run a global optimization algorithm [29] to determine the nine ‘outer’ parameters and minimize the optical constants independently for every measured energy. In this method, the layer’s thicknesses are not determined by external methods, but subject to the model fit. Starting values for the optimizer are chosen from prior knowledge of the sample fabrication for $s$ and $h$, while tabulated data are used for the optical constants [10]. The fitted values for the layer’s thicknesses were in general agreement to the design values from the sample preparation process. On a modern desktop computer, this can be solved within reasonable calculation time, using state-of-the-art optimization toolboxes [2830]. An example of reconstructed reflectance data is given in Fig. 2, underlining that this model is accurately able to describe the experimental data.

4. RESULTS AND DISCUSSION

Table 1 summarizes the optical constants of all measured thin film samples at 91.85 eV/13.5 nm, Fig. 3 presents plots of the data over the full measured spectral range, and the full, spectrally resolved data of all materials measured are reported in Appendix A. Figure 4 compares our results to the existing literature. Next to the optical constants $\delta$ and $\beta$, Table 1 includes the fitted film thicknesses and material’s densities for reference, as well as the chromatic dispersion. In the x-ray region, the refractive index of materials scales linearly with its density, and for high energies far from resonances, the refractive index can be calculated through tabulated atomic scattering factors. We used this to fit the density of our samples by comparing the retrieved optical constants at the highest available photon energy of 124 eV (10 nm) to the Center for X-Ray Optics (CXRO) database [10]. This works well for ruthenium, tantalum, platinum, molybdenum, and tellurium, but in the case of nickel and its alloys, we still find discrepancies to the theoretical data at 124 eV. Since the overall shape of CXRO data agrees well with our data sets, which is shown in Fig. 3, it was used for the approximate determination of the density. We find moderate differences in the density to tabulated data, 15% at maximum. The retrieved density is smaller than the tabulated one, which is not surprising, since the morphology of nanometer scaled thin films is more porous than bulk material. The only exception to this rule is nickel, where we determine a higher density than for bulk, which can be explained through the differences between the reference data and our own measurements [cf. Fig. 3(b) and the resulting poor density fit].

Tables Icon

Table 1. Central Results of the Global Reflectance Fits for Various Thin Layers at 13.5 nm/91.85 eV

 figure: Fig. 3.

Fig. 3. Spectrally resolved optical constants for different groups of materials (solid lines) and comparison to predictions [10] (dashed lines) with fitted density values (cf. Table 1). Dashed vertical lines indicate 91.85 eV/13.5 nm. (a) Optical constants of ruthenium, tantalum, and their alloys. (b) Optical constants of nickel and nickel aluminum alloys. (c) Optical constants of platinum, molybdenum, and its alloys. Clearly visible are the absorption lines of platinum $N6$ at 74.5 eV and $N7$ at 71.2 eV. (d) Optical constants of tantalum, tellurium, and various compound materials.

Download Full Size | PDF

 figure: Fig. 4.

Fig. 4. Comparison of the here presented optical constants at 91.85 eV photon energy/13.5 nm wavelength (red dots) to data from previous studies. From atomic scattering factors: black triangles [10], green triangles [39,40]; from reflectance measurements: blue rectangles [32]. From various other studies: magenta diamonds, Mo [33], Ni [34], Pt [35], Ru [36], Ta [37], and Te [38].

Download Full Size | PDF

Even in the case in which the main interest lies on the values at a specific photon energy, such as 91.85 eV/13.5 nm, the spectrally resolved measurement and fit are beneficial for the determination of the global sample parameters, such as the layer thicknesses and roughness values. For a single photon energy, the approach used here to model roughness through an interfacial roughness parameter ${s_{j/j - 1}}$ within the Fresnel coefficients [19] does not allow us to discriminate the effect of roughness from interdiffusion between layers. This becomes possible only by including a specific interdiffusion layer in the model (cf. Fig. 1) and measuring what is spectrally resolved. A measurement at the target energy only would result in a less accurate determination of the optical constants because the problem could be over-determined.

Of the pure metals studied here, ruthenium has the largest refractive power, while nickel shows the highest extinction. Platinum comes close to ruthenium, but at a much higher extinction coefficient. The pure metal films mark the extrema in the two-dimensional space of $(\delta ,\beta)$, and all the alloys fall in between, as presented in Fig. 5. The numbers for alloyed metal films suggest that the optical constants of these films can be understood mostly as a weighted sum of their constituents. We find that this is true for alloys of ruthenium and tantalum, molybdenum, and platinum, and chiefly for nickel and aluminum. Although not surprising, these are the first measurements to demonstrate this correlation on the grounds of actual experiments. An interesting exception from this trend is platinum telluride (PtTe), an alloy of the metal platinum and the metalloid tellurium, whose extinction coefficient is larger than that of pure platinum or pure tellurium. The reason lies in the crystal structure of the materials: platinum telluride’s orthorhombic crystal structure results in a lower partial specific volume of tellurium than in the trigonal crystal structure of tellurium’s pure form [31]. The resulting, higher specific partial mass density of tellurium in platinum telluride is the reason for the large extinction coefficient of the material. We also note that the optical constants of ${\rm{R}}{{\rm{u}}_2}{\rm{W}}$ and ${\rm{R}}{{\rm{u}}_3}{\rm{Ta}}$ are almost identical, which is because the neighboring elements tungsten and tantalum have very similar densities and therefore a very similar effect on the optical constants of the alloy with ruthenium.

 figure: Fig. 5.

Fig. 5. Optical constants for thin metal films and their alloys at 91.85 eV/13.5 nm, all obtained within this study. Binary alloys are grouped as a guide to the eye. Shaded areas depict regions of interest for different mask-type applications.

Download Full Size | PDF

When comparing our data at 91.85 eV to the existing literature of direct measurements of the optical constants [3238], we find the differences in values, which are summarized in Fig. 4 alongside those calculated from atomic scattering factors [10,39,40]. Of those references, the data of Windt et al. on Mo, Pt, Ru, and Ta [32], as well as the data of Rodríguez-de Marcos et al. on Te [38] and Hosoya et al. on Ta [37], were obtained from reflection-type measurements and are therefore very comparable to ours with respect to methodology and outcome. An exception is the value of Diel et al. on Ni [34], which is far from our value and the one from CXRO [10]. Pauly et al. used reflection electron energy loss spectroscopy on Mo to determine the dielectric constants [33] and determined a value that is also very close to our value. The data set of Soufli et al. on Pt [35], using transmission-type measurements on thin, freestanding films, agrees remarkably well with our data. Differences are smaller than 0.5% over the entire spectral range, including the visible absorption edges $N6$ and $N7$ (not shown).

CXRO data [10] and the data of Chantler [39,40] are based on atomic scattering factors, so the density of the materials is needed as an input to retrieve the optical constants from these databases. For the comparison at 91.85 eV in Fig. 4, we used the tabulated bulk densities instead of the fitted density values of Table 1. The dashed lines in Fig. 3 show that we find good agreement of the CXRO data with our values for many materials over the studied spectral range, if the density is adjusted to the fitted values of Table 1. However, Fig. 4 shows that the values, based on the non-adjusted, tabulated bulk densities, differ at 91.85 eV. Values of the alloys can only be retrieved from the current databases if their density is known. In some spectral regions, such as ${{70}}\;{\rm{eV}}\;{\ldots}\;{{75}}\;{\rm{eV}}$ for platinum, the spectral shape of the optical constants contains additional information about the absorption edges of the materials; see Fig. 3(c). When working near absorption edges, special care needs to be taken, because spectral shifts and polarization effects can occur [41].

5. APPLICATION IN EUV LITHOGRAPHY

The currently most relevant application of the here investigated materials at 13.5 nm wavelength is EUV lithography, namely for reflective photomasks and mirrors. Their development drives the miniaturization of semiconductor technology by enhancing the resolution of the lithography process and thereby reducing the feature sizes on future computer chips. Since the performance of current EUV lithography masks is the result of a rigorous understanding of the image formation process, a key element is the precise knowledge of the refractive index of the materials in use [8,9]. These photomasks are being used today under a chief-ray-angle-at-object (CRAO) of 6°, which corresponds to an angle of incidence range of $\approx {2^ \circ} \ldots {10^ \circ}$ [42]. They consist of a highly reflective multilayer substrate of alternating layers of silicon and molybdenum and an absorber layer on top [4345]. Compound materials such as TaBN, ${\rm{N}}{{\rm{i}}_3}{\rm{Al}}$ [1], RuTa [4], PtMo, or TaTeN [2,3] are currently at the focus of interest for novel absorber materials. Photomasks create a pattern at the wafer based on different physical principles: if most parts of the incoming radiation are absorbed, it is called a ‘binary mask’. When phase shifting and successive destructive interference of the reflected radiation play a major role, it is called a ‘phase shifting mask’ [8]. Figure 5 presents the data of Table 1 as an aerial map to visualize the location of the different materials. In current designs, both effects are being balanced to achieve the best resolution, leading to combinations such as ‘binary masks with phase shift’ and ‘attenuated phase shifting masks’. The areas of interest for such mask materials are marked in the presentation of the optical constants in Fig. 5 through shaded regions. For a binary mask, a high value of the extinction coefficient $\beta$ is required, while for a hard phase shifting mask, a high value of the dispersion coefficient $\delta$ is required, which roughly divides the range of available materials along the line of $\delta = \beta$. Currently, materials with a high dispersion coefficient $\delta$ yield the best performance, which means that their real part $n$ of the refractive index is substantially smaller than 1; hence these materials are called low-$n$ [9]. Depending on the precise requirements, different materials or material combinations can be chosen. We find that it is possible to tune the material’s properties of a thin film by variation of the alloy’s constituents to some extent and position it in between the extreme points, marked by the pure metals. A good example is the system of ruthenium and tantalum, who provide great adjustability of predominantly the dispersion. Platinum and molybdenum, on the other hand, have very similar dispersion values but greatly different extinction coefficients, which allows alloys of the two to be used as an attenuation-adjustable phase shifting material. Nickel–aluminum alloys lie purely within the region of binary masks, but they do not form a completely straight line. This can be understood from the complex shape of the dispersion and extinction curves in Fig. 3(b), which show that both materials contribute greatly to the spectral distribution of their alloys. For platinum, tellurium, and platinum telluride, the case is slightly different, because their crystal structures are all different [31], which means that the density does not follow a straight line on the $(\delta ,\beta)$ plane. Apart from their optical properties at 13.5 nm, for non-monochromatic applications the spectral shape is also relevant. The full, spectrally resolved data of all materials measured are reported in Appendix A and in Fig. 3, whereas the chromatic dispersion $\frac{{{\rm{d}}\tilde n}}{{{\rm{d}}\lambda}}$ at 13.5 nm wavelength is given in Table 1 as a first order approximation for moderately broadband applications. For the application in EUV lithography masks, other aspects than the optical properties of the materials are equally important for success. Central properties are the ability to deposit and etch the materials, and their stability and resistance against oxidation [8].

6. SUMMARY

We presented optical constants of various pure materials, alloys, and compound materials, measured on thin films in the EUV spectral region. Our results help to give a deeper understanding of the optical properties of materials that are relevant for EUV lithography applications, especially alloys such as ${\rm{R}}{{\rm{u}}_x}{\rm{T}}{{\rm{a}}_x}$ and compound materials such as TaTeN. The determination of optical constants was accomplished through a model fit of reflection data over a systematically varying angle of incidence and the photon energy. Using the matrix transfer method to model the reflectance data and modern global optimization schemes, this approach proved to work stably and reliably for a broad set of sample materials. Our results extend the existing literature around the photon energy of 91.85 eV for a variety of materials.

APPENDIX A: DATA TABLES

Complete list of the retrieved optical constants ($\delta$, $\beta$) from thin film samples. Wavelengths $\lambda$ represent the order of measurements; photon energies $h\nu$ are given for reference.

Funding

Horizon 2020 Framework Programme (20IND04, 662338, 783247).

Acknowledgment

We thank the engineers of the EUV radiometry group at PTB Berlin for their support during the measurements, especially Christian Laubis, Christian Buchholz, Christian Stadelhoff, Jana Puls, Heiko Mentzel, Anja Babuschkin, and Ayhan Babalik. The authors acknowledge that this project has received funding from the Electronic Component Systems for European Leadership Joint Undertaking under grant agreement 662338–SeNaTe and 783247–TAPES3, as well as from the EMPIR programme 20IND04 ATMOC. These Joint Undertakings receive support from the European Union’s Horizon 2020 research and innovation program alongside the Netherlands, France, Belgium, Germany, Czech Republic, Austria, Hungary, and Israel.

Disclosures

The authors declare no conflicts of interest.

Data availability

Data underlying the results presented in this paper are not publicly available at this time but may be obtained from the authors upon reasonable request.

REFERENCES

1. V. Luong, V. Philipsen, E. Hendrickx, K. Opsomer, C. Detavernier, C. Laubis, F. Scholze, and M. Heyns, “Ni-Al alloys as alternative EUV mask absorber,” Appl. Sci. 8, 521 (2018). [CrossRef]  

2. V. Luong, V. Philipsen, K. Opsomer, J. Rip, E. Hendrickx, M. Heyns, C. Detavernier, C. Laubis, and F. Scholze, “Assessing stability of metal tellurides as alternative photomask materials for extreme ultraviolet lithography,” J. Vac. Sci. Technol. B 37, 061607 (2019). [CrossRef]  

3. M. Wu, D. Thakare, J.-F. de Marneffe, P. Jaenen, L. Souriau, K. Opsomer, J.-P. Soulié, A. Erdmann, H. Mesilhy, P. Naujok, M. Foltin, V. Soltwisch, Q. Saadeh, and V. Philipsen, “Study of novel EUVL mask absorber candidates,” J. Micro/Nanopattern. Mater. Metrol. 20, 021002 (2021). [CrossRef]  

4. M. Wu, J.-F. de Marneffe, K. Opsomer, C. Detavernier, A. Delabie, P. Naujok, Ö. Caner, A. Goodyear, M. Cooke, Q. Saadeh, V. Soltwisch, F. Scholze, and V. Philipsen, “Characterization of Ru(4-x)Ta(x) (x = 1, 2, 3) alloy as material candidate for EUV low-n mask,” Micro Nano Eng. 12, 100089 (2021). [CrossRef]  

5. B. Wu and A. Kumar, “Extreme ultraviolet lithography: a review,”J. Vac. Sci. Technol. B 25, 1743–1761 (2007). [CrossRef]  

6. B. Wu and A. Kumar, “Extreme ultraviolet lithography and three dimensional integrated circuit–a review,” Appl. Phys. Rev. 1, 011104 (2014). [CrossRef]  

7. J. S. Kim and J. Ahn, “Mask materials and designs for extreme ultra violet lithography,” Electron. Mater. Lett. 14, 533–547 (2018). [CrossRef]  

8. V. Bakshi, EUV Lithography, 2nd ed. (SPIE, 2018).

9. C. van Lare, F. Timmermans, and J. Finders, “Mask-absorber optimization: the next phase,” J. Micro/Nanolithogr. MEMS MOEMS 19, 1 (2020). [CrossRef]  

10. B. L. Henke, E. Gullikson, and J. Davis, “X-ray interactions: photoabsorption, scattering, transmission and reflection E = 50-30,000 eV, Z = 1-92,” At. Data Nucl. Data Tables 54, 181–342 (1993). [CrossRef]  

11. R. Soufli and E. M. Gullikson, “Optical constants of materials for multilayer mirror applications in the EUV/soft x-ray region,” Proc. SPIE 3113, 222–229 (1997). [CrossRef]  

12. L. G. Parratt, “Surface studies of solids by total reflection of X-rays,” Phys. Rev. 95, 359 (1954). [CrossRef]  

13. R. Müller, S. Yulin, P. Naujok, N. Kaiser, and A. Tünnermann, “Optical properties and oxidation resistance of different transition metals for soft x-ray and EUV applications,” Thin Solid Films 624, 1–6 (2017). [CrossRef]  

14. C. Tarrio, R. N. Watts, T. B. Lucatorto, J. M. Slaughter, and C. M. Falco, “Optical constants of in situ-deposited films of important extreme-ultraviolet multilayer mirror materials,” Appl. Opt. 37, 4100–4104 (1998). [CrossRef]  

15. H. Kiessig, “Untersuchungen zur totalreflexion von Röntgenstrahlen,” Ann. Phys. (Berlin) 402, 715–768 (1931). [CrossRef]  

16. M. Foster, M. Stamm, and G. Reiter, “X-ray reflectometer for study of polymer thin films and interfaces,” Vacuum 41, 1441–1444 (1990). [CrossRef]  

17. E. Chason and T. Mayer, “Thin film and surface characterization by specular x-ray reflectivity,” Crit. Rev. Solid State Mater. Sci. 22, 1–67 (1997). [CrossRef]  

18. M. Bass, C. DeCusatis, J. Enoch, V. Lakshminarayanan, G. Li, C. Macdonald, V. Mahajan, and E. Van Stryland, Handbook of Optics, 3rd ed. (McGraw-Hill, Inc., 2009), Vol. I: Geometrical and physical optics, polarized light, components and instruments.

19. L. Nevot and P. Croce, “Caractérisation des surfaces par réflexion rasante de rayons X. Application à l’étude du polissage de quelques verres silicates,” Rev. Phys. Appl. 15, 761–779 (1980). [CrossRef]  

20. G. Vignaud and A. Gibaud, “REFLEX: a program for the analysis of specular x-ray and neutron reflectivity data,” J. Appl. Crystallogr. 52, 201–213 (2019). [CrossRef]  

21. H. Fujiwara, Spectroscopic Ellipsometry: Principles and Applications (Wiley, 2007).

22. J. J. G. Perez and R. Ossikovski, Polarized Light and the Mueller Matrix Approach (CRC Press, 2016).

23. J. Jaiswal, S. Mourya, G. Malik, S. Chauhan, A. Sanger, R. Daipuriya, M. Singh, and R. Chandra, “Determination of optical constants including surface characteristics of optically thick nanostructured Ti films: analyzed by spectroscopic ellipsometry,” Appl. Opt. 55, 8368–8375 (2016). [CrossRef]  

24. F. Scholze, J. Tümmler, and G. Ulm, “High-accuracy radiometry in the EUV range at the PTB soft x-ray beamline,” Metrologia 40, S224 (2003). [CrossRef]  

25. F. Scholze, C. Laubis, C. Buchholz, A. Fischer, S. Ploeger, F. Scholz, H. Wagner, and G. Ulm, “Status of EUV reflectometry at PTB,” Proc. SPIE 5751, 749–758 (2005). [CrossRef]  

26. T. Uno and K. Hayashi, “Reflective mask blank for EUV lithography and process for producing the same,” U.S. patent 8,956,787 B2 (February 17, 2015).

27. N. Koster, B. Mertens, R. Jansen, A. Van De Runstraat, F. Stietz, M. Wedowski, H. Meiling, R. Klein, A. Gottwald, F. Scholze, R. Vissere, M. Kurte, P. Zalme, E. Louis, and A. Yakshin, “Molecular contamination mitigation in EUVL by environmental control,” Microelectron. Eng. 61, 65–76 (2002). [CrossRef]  

28. C. Zhu, R. H. Byrd, P. Lu, and J. Nocedal, “Algorithm 778: L–BFGS–B: Fortran subroutines for large–scale bound–constrained optimization,” ACM Trans. Math. Softw. 23, 550–560 (1997). [CrossRef]  

29. R. Storn and K. Price, “Differential evolution–a simple and efficient heuristic for global optimization over continuous spaces,” J. Global Optim. 11, 341–359 (1997). [CrossRef]  

30. P. Virtanen, R. Gommers, T. E. Oliphant, M. Haberland, T. Reddy, D. Cournapeau, E. Burovski, P. Peterson, W. Weckesser, J. Bright, S. J. van der Walt, M. Brett, J. Wilson, K. J. Millman, N. Mayorov, A. R. J. Nelson, E. Jones, R. Kern, E. Larson, C. J. Carey, I. Polat, Y. Feng, E. W. Moore, J. VanderPlas, D. Laxalde, J. Perktold, R. Cimrman, I. Henriksen, E. A. Quintero, C. R. Harris, A. M. Archibald, A. H. Ribeiro, F. Pedregosa, P. van Mulbregt, and SciPy 1.0 Contributors, “SciPy 1.0: fundamental algorithms for scientific computing in Python,” Nat. Methods 17, 261–272 (2020). [CrossRef]  

31. F. Grønvold, H. Haraldsen, and A. Kjekshus, “On the sulfides, selenides and tellurides of platinum,” Acta Chem. Scand 14, 1879–1893 (1960). [CrossRef]  

32. D. L. Windt, W. C. Cash, M. Scott, P. Arendt, B. Newnam, R. Fisher, and A. Swartzlander, “Optical constants for thin films of Ti, Zr, Nb, Mo, Ru, Rh, Pd, Ag, Hf, Ta, W, Re, Ir, Os, Pt, and Au from 24 Å to 1216 Å,” Appl. Opt. 27, 246–278 (1988). [CrossRef]  

33. N. Pauly, F. Yubero, and S. Tougaard, “Optical properties of molybdenum in the ultraviolet and extreme ultraviolet by reflection electron energy loss spectroscopy,” Appl. Opt. 59, 4527–4532 (2020). [CrossRef]  

34. I. Diel, J. Friedrich, C. Kunz, S. Di Fonzo, B. Müller, and W. Jark, “Optical constants of float glass, nickel, and carbon from soft-x-ray reflectivity measurements,” Appl. Opt. 36, 6376–6382 (1997). [CrossRef]  

35. R. Soufli, F. Delmotte, J. Meyer-Ilse, F. Salmassi, N. Brejnholt, S. Massahi, D. Girou, F. Christensen, and E. M. Gullikson, “Optical constants of magnetron sputtered Pt thin films with improved accuracy in the N-and O-electronic shell absorption regions,” J. Appl. Phys. 125, 085106 (2019). [CrossRef]  

36. L. J. Bissell, D. D. Allred, R. S. Turley, W. R. Evans, and J. E. Johnson, “Determining ruthenium’s optical constants in the spectral range 11–14 nm,” Proc. SPIE 5538, 84–91 (2004). [CrossRef]  

37. M. Hosoya, N. Sakaya, O. Nozawa, Y. Shiota, K. Hamamoto, O. Nagarekawa, S. Shimojima, T. Shoki, T. Watanabe, and H. Kinoshita, “Evaluating the optical index of Ta and Ta-based absorbers for an extreme ultraviolet mask using extreme ultraviolet reflectometry,” Jpn. J. Appl. Phys. 47, 4898 (2008). [CrossRef]  

38. L. V. Rodríguez-de Marcos, S. M. Kalaiselvi, O. B. Leong, P. K. Das, M. B. Breese, and A. Rusydi, “Optical constants and absorption properties of Te and TeO thin films in the 13-14 nm spectral range,” Opt. Express 28, 12922–12935 (2020). [CrossRef]  

39. C. T. Chantler, “Theoretical form factor, attenuation, and scattering tabulation for Z = 1–92 from E = 1–10 eV to E = 0.4–1.0 MeV,” J. Phys. Chem. Ref. Data 24, 71–643 (1995). [CrossRef]  

40. C. T. Chantler, “Detailed tabulation of atomic form factors, photoelectric absorption and scattering cross section, and mass attenuation coefficients in the vicinity of absorption edges in the soft X-ray (Z = 30–36, Z = 60–89, E = 0.1 keV–10 keV), addressing convergence issues of earlier work,” J. Phys. Chem. Ref. Data 29, 597–1056 (2000). [CrossRef]  

41. A. Andrle, P. Hönicke, J. Vinson, R. Quintanilha, Q. Saadeh, S. Heidenreich, F. Scholze, and V. Soltwisch, “The anisotropy in the optical constants of quartz crystals for soft x-rays,” J. Appl. Cryst. 54, 402–408 (2021). [CrossRef]  

42. J. T. Neumann, P. Gräupner, W. Kaiser, R. Garreis, and B. Geh, “Mask effects for high-NA EUV: impact of NA, chief-ray-angle, and reduction ratio,” Proc. SPIE 8679, 867915 (2013). [CrossRef]  

43. T. W. Barbee, S. Mrowka, and M. C. Hettrick, “Molybdenum-silicon multilayer mirrors for the extreme ultraviolet,” Appl. Opt. 24, 883–886 (1985). [CrossRef]  

44. J. Slaughter, D. W. Schulze, C. Hills, A. Mirone, R. Stalio, R. Watts, C. Tarrio, T. B. Lucatorto, M. Krumrey, P. Mueller, and C. M. Falco, “Structure and performance of Si/Mo multilayer mirrors for the extreme ultraviolet,” J. Appl. Phys. 76, 2144–2156 (1994). [CrossRef]  

45. S. Rizvi, Handbook of Photomask Manufacturing Technology (CRC Press, 2018).

Data availability

Data underlying the results presented in this paper are not publicly available at this time but may be obtained from the authors upon reasonable request.

Cited By

Optica participates in Crossref's Cited-By Linking service. Citing articles from Optica Publishing Group journals and other participating publishers are listed here.

Alert me when this article is cited.


Figures (5)

Fig. 1.
Fig. 1. (a) Sketch of the experimental setup for EUV reflectometry at the soft x-ray beamline of the PTB at the synchrotron radiation facility BESSY II. During a measurement, the angle of incidence ${\theta _i}$ is scanned from grazing to near normal whilst adjusting the position of the photodiode ${\theta _f}$ to detect the specular reflection. The energy is scanned from 62 eV to 124 eV to cover the relevant EUV parts. The setup is operated under vacuum conditions in a lubricant-free environment. (b) Example of an EUV reflectance map, obtained from a Ta thin film on a silicon wafer substrate. The information on optical constants and the sample parameters such as layer thickness and roughness are encoded in the characteristic intensity modulations of the reflectance map.
Fig. 2.
Fig. 2. (a) Simulated EUV reflectance map of the measurement in Fig. 1(b) of a Ta film on silicon. The dashed cross sections beside and below the main panel provide direct comparison with the measured data (circles). (b) Fitted optical constants of the Ta film over the measured spectral range.
Fig. 3.
Fig. 3. Spectrally resolved optical constants for different groups of materials (solid lines) and comparison to predictions [10] (dashed lines) with fitted density values (cf. Table 1). Dashed vertical lines indicate 91.85 eV/13.5 nm. (a) Optical constants of ruthenium, tantalum, and their alloys. (b) Optical constants of nickel and nickel aluminum alloys. (c) Optical constants of platinum, molybdenum, and its alloys. Clearly visible are the absorption lines of platinum $N6$ at 74.5 eV and $N7$ at 71.2 eV. (d) Optical constants of tantalum, tellurium, and various compound materials.
Fig. 4.
Fig. 4. Comparison of the here presented optical constants at 91.85 eV photon energy/13.5 nm wavelength (red dots) to data from previous studies. From atomic scattering factors: black triangles [10], green triangles [39,40]; from reflectance measurements: blue rectangles [32]. From various other studies: magenta diamonds, Mo [33], Ni [34], Pt [35], Ru [36], Ta [37], and Te [38].
Fig. 5.
Fig. 5. Optical constants for thin metal films and their alloys at 91.85 eV/13.5 nm, all obtained within this study. Binary alloys are grouped as a guide to the eye. Shaded areas depict regions of interest for different mask-type applications.

Tables (3)

Tables Icon

Table 1. Central Results of the Global Reflectance Fits for Various Thin Layers at 13.5 nm/91.85 eV

Equations (8)

Equations on this page are rendered with MathJax. Learn more.

u ± ( z ) = A j ± exp ( ± i k z , j z ) ,
[ u + ( z v a c . ) u ( z v a c . ) ] = M [ u + ( z s u b s t r a t e ) u ( z s u b s t r a t e ) ] ,
M = R v a c . / c o n t . T c o n t . R c o n t . / o x . T o x . R o x . / m a t . T m a t . R m a t . / d i f f . T d i f f . R d i f f . / s u b s .
T j = [ exp ( i k z , j h j ) 0 0 exp ( i k z , j h j ) ] ,
R j / k = [ p j / j 1 m j / j 1 m j / j 1 p j / j 1 ] ,
p j / j 1 = k z , j + k z , j 1 2 k z , j exp ( 1 2 ( k z , j + k z , j 1 ) 2 s j / j 1 2 ) , m j / j 1 = k z , j k z , j 1 2 k z , j exp ( 1 2 ( k z , j k z , j 1 ) 2 s j / j 1 2 ) .
R = I o u t I i n = | u + ( z v a c . ) u ( z v a c . ) | 2 = | M 12 M 22 | 2 .
min p ( ) [ ν , θ | R c a l c . ( ν , θ ) R m e a s . ( ν , θ ) | 2 σ ν , θ 2 ] ,
Select as filters


Select Topics Cancel
© Copyright 2024 | Optica Publishing Group. All rights reserved, including rights for text and data mining and training of artificial technologies or similar technologies.