Expand this Topic clickable element to expand a topic
Skip to content
Optica Publishing Group

Vertical junction silicon microdisk modulators and switches

Open Access Open Access

Abstract

Vertical junction resonant microdisk modulators and switches have been demonstrated with exceptionally low power consumption, low-voltage operation, high-speed, and compact size. This paper reviews the progress of vertical junction microdisk modulators, provides detailed design data, and compares vertical junction performance to lateral junction performance. The use of a vertical junction maximizes the overlap of the depletion region with the optical mode thereby minimizing both the drive voltage and power consumption of a depletion-mode modulator. Further, the vertical junction enables contact to be made from the interior of the resonator and therein a hard outer wall to be formed that minimizes radiation in small diameter resonators, further reducing the capacitance and drive power of the modulator. Initial simple vertical junction modulators using depletion-mode operation demonstrated the first sub-100fJ/bit silicon modulators. With more intricate doping schemes and through the use of AC-coupled drive signals, 3.5μm diameter vertical junction microdisk modulators have recently achieved a communications efficiency of 3fJ/bit, making these modulators the smallest and lowest power modulators demonstrated to date, in any material system. Additionally, the demonstration was performed at 12.5Gb/s, required a peak-to-peak signal level of only 1V, and achieved bit-error-rates below 10−12 without requiring signal pre-emphasis. As an additional benefit to the use of interior contacts, higher-order active filters can be constructed from multiple vertical-junction modulators without interference of the electrodes. Doing so, we demonstrated second-order active high-speed bandpass switches with ~2.5ns switching speeds, and power penalties of only 0.4dB. Through the use of vertical junctions in resonant modulators, we have achieved the lowest power consumption, lowest voltage, and smallest silicon modulators demonstrated to date.

©2011 Optical Society of America

1. Introduction

Electrical intra- and inter-chip communication links have been the standard communication method between CMOS circuits since their inception. Yet, the continued scaling of Moore’s Law and the corresponding need to keep the ever increasing number of microprocessor cores fed with information is challenging the limits of on-chip and, in particular, off-chip electrical communication links in terms of both power consumption and raw bandwidth. For decades, Moore’s Law scaling of microelectronics has led to a ~2X improvement in computational efficiency every two years without additional power consumption or chip cost [1,2]. However, Amdahl’s Law [3,4] dictates that improving microprocessor efficiency alone is insufficient. To achieve an overall speedup in computation, the communications scaling must match the compute scaling. A metric of one byte of communications for every Floating Point Operation (FLOP) of computation has been adopted as that which defines a balanced system and enables continued scaling of system computational throughput [57].

The challenge is that no equivalent Moore’s Law exists for communications. The current commercial off-chip optical communication approach is based on spatially division multiplexed parallel VCSEL (Vertical Cavity Surface Emitting Laser) arrays, a multimode paradigm that is cost effective at low data rates (i.e., <100Gb/s), but inherently inefficient and of limited bandwidth scalability at high data rates (i.e., ~1Tb/s). Power consumption, bandwidth, and cost scaling limitations are addressed by moving to a single-mode platform. Single-mode operation enables low capacitance and thus high sensitivity receivers to be implemented while simultaneously enabling wavelength division multiplexing (WDM) for bandwidth densities that scale beyond 1Tb/s per communication line.

While indium phosphide based solutions exist for the source, modulator, and detectors, WDM multiplexing in the relatively low index contrast indium phosphide material system necessitates the use of large filtering components. Further, indium phosphide is expensive, exhibits a high defect density, and remains incompatible with CMOS processing. Silicon, with its native oxide interface, enables a very high index contrast that greatly simplifies WDM multiplexing with compact microring-resonator-based filters. Further, whether silicon photonics are directly integrated or hybrid attached to CMOS logic chips, tight integration not only simplifies packaging but also enables performance advantages that cannot be matched by discrete solutions alone even with the direct bandgaps and higher mobilities inherent to III-V material systems. Importantly, silicon photonic chips can be manufactured on at the same scale of microprocessors using existing legacy facilities.

While it has been evident for some time that silicon is a solid platform for multiplexing and demultiplexing WDM signals [8,9], it has only recently become apparent that very low power modulation [1016] and detection [1720] of WDM signals can also be achieved with silicon photonic components. Several groups have demonstrated germanium-on-silicon detectors with high responsivity, large bandwidth, and low dark current [1720]. Here, we consider modulators in the silicon platform. Two effects are generally available: (1) electro-absorption in germanium [13,21,22] and (2) the free-carrier plasma dispersion effect in silicon [23]. The Franz-Keldysh effect in germanium along with the associated Quantum Confined Stark Effect in silicon-germanium, are strong electro-absorption effects that have enabled ultralow power non-resonant modulators [13,21,22]. While these demonstrations are encouraging, both are band-edge effects and therefore inherently narrowband. To achieve wideband operation, additional mask layers are required in order to selectively shift the bandgap and cover a sufficiently broad spectrum for large bandwidth WDM communications. In contrast, the free-carrier effect in silicon is a relatively weak electro-refractive effect. However, it is also very broadband and therefore innately compatible with WDM communications. Early silicon modulator demonstrations naturally consisted of Mach-Zehnder style implementations, however, these are all inherently inefficient due to their size and corresponding capacitance and/or drive currents [2427].

Several years ago the solution to enhancing the weak free-carrier effect in silicon was both proposed and demonstrated [10]. The approach implemented a free-carrier effect modulator in a microring resonator. The microring, via its resonance, effectively multiplies up the weak free-carrier effect. While the microring dramatically reduces the optical bandwidth of a single modulator, a series of WDM modulators with different resonant frequencies can readily be cascaded to form a WDM communication link. Since the resonant frequencies of these modulators can be adjusted through lithography this approach is completely compatible with standard fabrication processes and requires no additional lithographic steps. The microring approach taken by Xu et al., based on free-carrier injection, was instructive and has led to a series of whispering-gallery resonant modulators that have followed [1116]. Beginning with [12], a series of depletion-based resonant whispering gallery mode modulators have been demonstrated [1216] that have achieved lower power and higher-speed operation without the need for signal pre-emphasis. Here, we detail the designs of a couple of vertical junction microdisk modulators that have led to the smallest and lowest power silicon modulators to date. Additionally, the designs eliminated the need for ridge waveguide based contacts, enabling tighter confinement, smaller device size, and the implementation of higher-order active switches without interference from the contacts. The highest performing of these modulators has achieved a power consumption of only 3fJ/bit with a drive voltage of only 1V.

2. The Case for Vertical Junction Modulators

The frequency shift in resonant free-carrier modulators is dependent on the overlap of the change in the depletion width with the mode of the optical field. The equation describing the change in resonant frequency ∆ωm of mode m, is derived directly from the Poynting Theorem [28], and given by Eq. (1)

Δωm=ωm4Δεem*emdv
Where ωm is the unperturbed cavity frequency and em the electric field distribution of mode m (normalized to the stored energy in the cavity). The change in the dielectric constant caused by the addition or removal of free carriers can be approximated as Δε2ε0nΔn. To maximize the frequency shift, it is important to not only maximize the refractive index change, but to simultaneously maximize its overlap with the modal electric field distribution.

The refractive index change ∆n at a wavelength of λ = 1550nm in silicon were carefully studied by Soref and Bennet [23] and the results are described by the following curve fit

Δne,h=Ae,h·Ne,hBe,h+jCe,h·Ne,hDe,h
where Ne,h is the electron (Ne) or hole (Nh) free-carrier concentration and the curve fitting parameters Ae = −2.37 × 10−23, Be = 1.08, Ce = 4.92 × 10−26, and De = 1.2, and Ah = −3.93 × 10−18, Bh = 0.82, Ch = 1.96 × 10−24, and Dh = 1.1, are used for the electrons and holes, respectively. The curve fit provided by Eq. (2) and the listed parameters are plotted in Fig. 1a .

 figure: Fig. 1

Fig. 1 (a) The change in the real and imaginary components of the refractive index are plotted as a function of carrier concentration for both electrons and holes at a wavelength of λ = 1550nm. The change in the real part of the refractive index is larger than that of the imaginary part and impacted more greatly by holes. The plots were obtained from curve fits to the experimental data in [23]. (b) A comparison of horizontal versus vertical junction modulators based on the depletion approximation. A plot of the fractional change in the waveguide depletion obtained from the depletion approximation going from a 0V to 2.5V applied for 0.25um and 0.5um silicon waveguides. The fractional change in depletion is more than a factor of 2 larger for the narrow guide with a vertical junction.

Download Full Size | PDF

As seen from Fig. 1a, the index changes that are possible with the free-carrier effect in silicon are small and therefore so too are the potential frequency shifts [see Eq. (1)]. Injection-based devices enable the highest carrier concentrations, but are bandwidth limited by the free-carrier lifetime. Depletion-based devices are not limited by the free-carrier lifetime, and therefore desirable, but cannot achieve the same degree of change in free-carrier concentration. In order to maximize the inherently smaller depletion-based effect, the overlap of the depletion region with the guided mode must be optimized. The extent of the overlap with the guided mode can then be estimated using the depletion approximation [29] below [Eq. (3)].

w=2εqNA+NDNAND(V+ϕB)
where w is the depletion width, ε is the dielectric constant, q is the electron charge, V is the applied voltage, ϕB is the built in potential, and ND and NA are the donor and acceptor concentrations. The depletion width is inversely proportional to the square-root of the carrier concentration and directly proportional to the square-root of the applied voltage. Given that we desire to achieve a large fractional change in depletion width across the guide with a high concentration of free-carriers, the guide width (or height) must be kept small. Combining Eqs. (1) and (2) with the simplifying assumptions that the mode is one-dimensional with a flat-top distribution over the guide height H (or width) and that the donor and acceptor carrier concentrations are equal, we arrive at an estimate for the frequency shift [Eq. (4)], that is instructive for the design of depletion-mode resonant modulators. Equation (4) explicitly shows the dependence of ∆ωe,h on the guide height H, carrier concentration N, and applied voltage V, where the subscripts e and h refer to the presence of the electrons and holes, respectively, using the aforementioned curve fitting parameters Ae,h, Be,h, Ce,h, and De,h. In a structure with both free-electrons and free-holes, the cumulative frequency shift is given by simply adding the two components together such that ω =ωe + ∆ωh.

Δωe,hAe,hωε0nNBe,h0.5H(εq(V+ϕB))12jCe,hωε0nNDe,h0.5H(εq(V+ϕB))12

Quite simply, the frequency shift is inversely proportional to H, the guide dimension perpendicular to the junction. In comparing two guides of different dimensions, the ratio of their frequency shifts is then (Δω1/Δω2)=(H2/H1). It is easier to form a wide/short waveguide than a tall/thin waveguide given the limitations of silicon etch sidewall control along with sidewall roughness at greater etch depths. As a result, in a typical silicon waveguide, the width is twice the height. Correspondingly, the frequency shift in a vertical p-n junction is twice the frequency shift in a lateral junction for the same carrier concentration and voltage applied. The reason for this stems from the fact that the depletion width depends only on the carrier concentration and the potential across the junction, not on the size of the junction. As a result, the fractional change in depletion width is proportional to the width or height of the guide, H. Figure 1b illustrates this point, comparing a 500nm wide lateral p-n junction to that of a 250nm tall vertical p-n junction. Moreover, to achieve an equivalent frequency shift in a lateral junction requires up to four times the voltage since the depletion width is proportional to the square-root of the applied voltage [see Eq. (3)]. Moreover, since the switching energy is proportional to ES = CV2, the power consumption in a vertical junction device can be up to 16 times smaller than in a horizontal junction device. In practice the benefit is reduced somewhat by the true overlap of the mode, and the need to more heavily dope a vertical junction modulator to achieve high-speed operation. Nevertheless, the benefit, as we illustrate in the subsequent sections is substantial.

3. A Simple Vertical Junction Modulator

In this section, we detail the design of a simple vertical junction modulator. The basic structure is depicted in Fig. 2a . A microdisk modulator with a vertical p-n junction, formed by implants of different energies, is contacted in the center of the disk using n + and p + plug implants and tungsten vias. Here, we see that a vertical junction enables an important feature, the elimination of a ridge contact [10], enabling a hard outer resonator wall [12], which maximizes the confinement of the optical field, minimizes the overall device size and minimizes the device capacitance. According to finite difference mode-solver simulations of a 240nm thick silicon microdisk, the hard outer wall enables diameters as small as 3.5μm. For our first design, we chose a conservative 4μm diameter (Fig. 2b).

 figure: Fig. 2

Fig. 2 (a) A diagram of our vertical junction microdisk modulator, (b) a cross-section view of the lowest order TE mode of the microdisk modulator and how it overlaps the depletion region, (c) Finite Element Model (FEM) results of the carrier distribution as a function of applied voltage, and (d) the calculated optical response of the modulator as a function of applied voltage obtained by inserting the carrier distribution (c) into the mode-solver to obtain the resulting mode (b), showing quality factor and frequency shift.

Download Full Size | PDF

The implant dopant levels and energies were chosen so as to maintain a sufficiently short RC time constant for 10Gb/s modulation and simultaneously achieve a large frequency shift in depletion-mode operation without substantially deteriorating the resonator quality factor. After a series of design trade-offs a dopant concentration of approximately 1018/cm3, was chosen for both the n and p implants. To achieve this dopant level, Boron Diflouride (BF2) was chosen for the p implant, with a dose of 2.8 × 1013/cm2 and an energy of 110keV. The n implant is Arsenic (As), at a dose of 4 × 1013/cm2 and an energy of 380keV. The substantially higher energy As implant enables a deep implant position for the n-implant, forming the vertical p-n junction. Contact was made using simple p + and n + plugs, with implants of BF2 at 35keV and 3.50 × 1015/cm2 and Phosphorous (P) at 40keV and 5 × 1015/cm2, respectively. The implants, process steps, and carrier concentrations as a function of applied voltage were simulated using Synopsys TSuprem-4 process simulator and Synopsys Davinci device simulator. The results of these simulations are provided in Fig. 2c. Finite element simulations predict that under an applied reverse bias of 3.5V, the depletion width will increase in size by a factor of ~2 with nearly one-third of the junction depleted. Inserting these results into the finite-difference calculation of the disk mode (Fig. 2b) and using the aforementioned refractive index changes in silicon [Eq. (2)] depicted in Fig. 1, the frequency shift of the resonance can be modeled and predicted with great accuracy. The results of which are shown in Fig. 2d. With 3.5V applied a frequency shift of 21GHz is predicted. With 7V applied, the frequency shift increases to 35GHz. Based on these shifts, an extinction ratio of 5dB and a loss of 1.8dB are predicted for a 3.5V reverse-bias. Additionally, from the process simulations a capacitance of ~20fF is expected. In terms of switching energy, ES = CV2, at 3.5V, we expect a switching energy of ~245fF. In an NRZ PRBS signal 0-to-0, 0-to-1, 1-to-0, and 1-1 transitions are all equally probable, and therefore the energy-per-bit is Ebit=(CV2/4). Therefore, with a 3.5V drive the expected energy-per-bit is ~61fJ.

4. Fabrication and Experimental Results

The microdisk modulator depicted in Fig. 2a was fabricated from silicon-on-insulator (SOI) wafers a silicon layer thickness of 250nm and a buried oxide layer thickness of 1μm. The modulator geometries were defined with an ASML Deep Ultra-Violet (DUV) laser scanner and silicon reactive ion etch. Sacrificial oxidation was performed at 950°C to remove etch damage and a secondary high temperature (1100°C) oxidation step was performed to passivate the silicon sidewall in preparation for implantation. Subsequently, the diode implants for both the n and p portions of the junction were performed, followed by implants for the n + and p + plugs. Doping concentrations were designed to be ~2⋅1018cm−3 for the diode implants and ~1021cm−3 for the plugs, as described in the previous section. An anneal at 900°C was used for dopant activation and diode passivation. Finally, a 1.6um thick PE-TEOS (plasma enhanced tetraethyl orthosilicate) oxide was deposited and chemical mechanically polished back to 0.9um prior to via contact. Low resistance contacts were made by contact titanium sputter, silicidation, and tungsten fill. Finally, a chemical mechanical polish (CMP) was performed followed by a titanium/titanium-nitride/aluminum-copper/titanium-nitride stack for interconnect and contact pads.

A micrograph of the structure is shown in Fig. 3a . The resonant frequency was measured as a function of applied reverse-bias in the Thru port and plotted in Fig. 4b alongside the numerical predictions (dashed curves). Under an applied reverse bias of 3.5V and 7V, frequency shifts of −20GHz and −34.5GHz, respectively, are observed, closely matching the theoretical predictions. The magnitude of the frequency shift is critical as it effectively determines the modulation frequencies that can be applied. Applying modulation frequencies higher than the optical frequency shift will ultimately reduce the contrast ratio. So, in this modulator structure, with a 3.5V applied bias, modulation frequencies on the order of 20GHz are possible from an optical bandwidth perspective. The limiting factors, as we now discuss, are the electrical rather than the electro-optic properties of the modulator.

 figure: Fig. 3

Fig. 3 (a) Scanning electron micrograph of the fabricated microdisk modulator, (b) measured optical responses of the microdisk as a function of applied voltage (solid) plotted alongside the simulated spectra (dashed), (c) measured optical power and switching energy using time domain reflectometry, and (d) eye diagram of a 10Gb/s non-return-to-zero pseudo-random bit-stream (PRBS).

Download Full Size | PDF

 figure: Fig. 4

Fig. 4 (a) Diagram of a more advanced partially-doped microdisk modulator with a more intricate doping scheme designed to achieve lower capacitance and lower energy consumption, and (b) a plot of the measured frequency shifts of a partial (i.e., half) and fully doped ring as a function of applied bias. Importantly, the slope of the frequency shift curve gets steeper towards forward bias.

Download Full Size | PDF

The electrical bandwidth and switching energy were measured using a time domain reflectometer (TDR). The reflected power from the step voltage output of the TDR and input to the modulator was determined by subtracting the power reflected by the device from that reflected from the microwave probe in contact with an identical, but open circuited device. In order to determine the absorbed power we first measured, S11dp=(V1dp/Vin), S11dp, the frequency domain device and pad combined scattering parameter where V1dp is the reflected voltage from the combined structure and Vin the step input voltage emanating from the TDR, both transformed into the frequency domain. We then normalized the result to the scattering parameter of the open circuited pads, S11p=(V1p/Vin), such that S11d=(S11dp/S11p). Here, V1p is the reflected voltage from the pads alone. The absorbed power was then determined by Pswitchingd=(1S11d)Vin2/Z0 where Z0 is the transmission line impedance (Z0 = 50Ω). Since the capacitance of the modulator varies with applied voltage, a series of seven measurements were performed in half-volt increments in order to accurately characterize S11d across the applied voltage range of 3.5V used for modulation. The switching energy was then determined by integrating the reflected power over the span of the measurement Eswitchingd=(1S11d)Vin2/Z0dt. The resulting measured power consumption and switching energy for Vin = 1.8V, or ~3.5V realized across the unterminated modulator as result of the high impedance of the structure, is depicted in Fig. 3c. The switching energy was found experimentally to be 230fJ, which agrees closely with our earlier theoretical estimate.

Demonstration of data transmission was performed with 10Gb/s non-return-to-zero (NRZ) data using the direct drive output of a pseudo random bit stream (PRBS) generator with a voltage level from a low of 0V to a high of 1.8V. The eye-diagram is shown in Fig. 3d. An extinction ratio of 4.8dB was achieved. A bit-error-rate-tester (BERT) at the output of the receiver demonstrated bit-error-rates below 10−12 and 10−9 for PRBS pattern lengths of 215-1 and 231-1. The increased error rate at longer pattern lengths (with lower frequency content) is due to a combination of thermo-optic effects and the low received power level resulting from poor fiber-to-chip coupling in these early devices. Additionally, the device is being pushed to the edge of its bandwidth limitations. The rise time for the signal, also depicted in Fig. 3d, is 48ps, and so nearly half a bit period is spent in transition. While this simple device is limited to 10Gb/s data streams, the bandwidth limitations are not inherent to the approach. The 7GHz 3dB bandwidth of the device is limited by its RC time constant. However, both R and C can be reduced by more intricate contacting schemes and reaching 25Gb/s operation should be straightforward with an optimized structure.

As mentioned previously, in an NRZ PRBS signal 0-to-0, 0-to-1, 1-to-0, and 1-to-1 transitions are all equally probable. With essentially no leakage current (<100pA) in these reverse-bias modulators, energy is only required to make 0-to-1 transitions. Therefore the energy/bit is ¼ of the 0-to-1 switching energy or only 58fJ1. This result, obtained in 2008 [12], represented the first demonstration of a silicon microphotonic modulator where the 100fJ/bit threshold had been crossed.

5. An Advanced Microdisk Design Driven by an AC Signal

In the first implementation of our vertical junction microdisk modulators [12], the n and p implant masks were shared to save a mask step. This approach led to a blanket doping of the junction across the entire area of the microdisk. While saving a mask step reduces fabrication costs, the blanket doping of the structure led to excess capacitance. The whispering gallery mode hugs the outside edge of the microdisk. It is therefore only necessary to dope the p-n junction in the periphery of the microdisk. Doing so minimizes the junction capacitance and leads to lower power consumption. By further doping only half of the structure we can minimize the resistance in the structure. This does, however, come at the expense of a smaller shift in the resonant frequency with applied bias, but is necessary to achieve >10Gb/s modulator in this device.

A diagram of the resulting structure and doping profile is depicted in Fig. 4a. Pushing the confinement of the optical mode to its theoretical bend radiation limit, the diameter of the microdisk modulator is reduced to a mere 3.5μm. The structure was fabricated in a manner analogous to the modulator described in Fig. 2. Reducing the capacitance is important, but reducing the applied voltage is even more important as the voltage represents a square-term in the switching energy (i.e., ES = CV2) and because lower voltages are needed for integration with advanced CMOS drive circuits. In the previous demonstration we had driven the modulator only in the depletion-mode. That is, we applied a bias V that added to the built in potential ϕB. However, according to the depletion approximation [Eq. (3)], the depletion width can be modulated by applying either a positive or negative potential. If we consider the resulting frequency shift [Eq. (4)], the derivative with respect to voltage [Eq. (5)], increases sharply as the quantity V + ϕB is driven towards zero.

dωdVAωε0nNB0.52H(qε(V+ϕB))12+jCωε0nND0.52H(qε(V+ϕB))12

That is as the applied bias V is driven oppositely to the built in potential ϕB, the degree of change in the frequency is maximized for a given applied bias. This is precisely what we observe in Fig. 4b, for the measured frequency shifts in partial and fully doped devices. It is of course important to note that when driving the device into sub-threshold forward bias the voltage must be kept below the diode turn-on voltage in order to passing a forward current and the associated free-carrier lifetime issues.

TDR measurements, along with eye diagrams for this modulator operating at 12.5Gb/s, the limit of our apparatus, are shown in Fig. 5 . As can be seen from Fig. 5b and 5d, with equal positive and negative voltages applied to the modulator (i.e., AC coupled), with a peak-to-peak voltage of only 1.0V, a 3.2dB extinction ratio is achieved and with a peak-to-peak voltage of 1.5V, a 5dB extinction ratio is achieved both with clean, wide-open, eye-diagrams. With rise times of 62ps and 55ps, this structure is a bit slower than the original design, but we still managed to achieve 12.5Gb/s modulation, however, at the expense of the extinction ratio. At lower data rates, the extinction ratios improve by another 1-to-2dB. Pseudo-random bit patterns of 231-1 were used and bit-error-rates of less than 10−12 were achieved in both cases. Interestingly, in these devices the longer pattern lengths did not cause a significant degradation of the bit-error-rate. The reason for this is quite simple. The reduced capacitance and voltage applied to these devices greatly reduced their power consumption. According to the TDR measurements in Fig. 5a and 5c, the peak power consumption, along with the switching energy, were reduced by more than an order of magnitude. With a 1V drive, the switching energy was reduced to just 12fJ. And with a 1.5V drive, the switching energy is still only 28fJ, however a 60μA sub-threshold forward bias current in the diode was seen at a 0.75V forward drive. In terms of energy/bit, the 1V drive required only 3fJ/bit and the 1.5V required 7fJ/bit due to charging the capacitive structure and another 1.8fJ due to leakage current (i.e., 60μA×(0.75V/2×12.5×(109bits/s))=1.8fJ/bit), for a total of 8.8fJ/bit. In either case, the secondary thermal heating of the devices resulting from PRBS electrical drive signal was reduced to the point of being imperceptible. This effect limits above-threshold forward biased devices to short PRBS patterns because the forward current heats the device and after long periods in the on state returning to the quiescent state returns errors. The use of an AC coupled drive was first demonstrated and reported in [15]. Subsequently, the approach has been demonstrated and reported by others with lateral junction devices [16]. In both cases the voltage required to drive the modulators has been reduced considerably.

 figure: Fig. 5

Fig. 5 (a) TDR measurements of the instantaneous power consumption and switching energy for a 1V AC-coupled drive, (b) a 12.5Gb/s eye-diagram for a 1V AC-coupled drive signal, (c) TDR measurements of the instantaneous power consumption and switching energy for a 1.5V AC-coupled drive, and (d) a 12.5Gb/s eye-diagram for a 1.5V AC-coupled drive signal.

Download Full Size | PDF

Table 1 provides a comparison of the power consumption of this vertical junction modulator versus previous demonstrations. Here, it is seen that we have achieved a measured power consumption that is a factor of 3 or more lower than previous demonstrations in a device that occupies less than 1/20th the area of the next smallest device. While some effort remains to fully optimize the device, the inherent advantages of a vertical junction p-n junction silicon modulator are clear. The frequency shift for a given applied bias is inherently larger and the devices are inherently smaller, enabling substantial reductions in both required chip real estate and capacitance. Importantly, in a just a few years the research community has driven down the power consumption of silicon modulators to a negligible level.

Tables Icon

Table 1. Comparison of the energy, voltage, and speed, extinction ratio, and insertion loss of various demonstrated silicon modulators.*

6. A High-Speed Bandpass Switch

An additional benefit of vertical coupled devices is that the use of center contacts enables high-order active filters to be readily created by coupling multiple microdisk modulators together. For high performance computing (HPC) applications, the addition of high-speed bandpass switches to low-power modulators will enable fine-grain routing of information without an optical-to-electrical-to-optical (OEO) conversation step, thereby potentially significantly reducing the power consumption of routing circuits. Here, we created a 2nd order active filter by coupling a pair of 6μm microdisk modulators together. These structures were first demonstrated in 2008 [30]. A more detailed measure of the device performance is now given.

Again, tungsten vias are used to contact the active elements and the active region is composed of a vertical p-n junction. A diagram of the basic structure is shown alongside a micrograph cross-section of the device in Figs. 6a and 6b. The filter bandpasses, separated by an FSR of 32nm, shown in Figs. 6c and 6d, exhibit flat-top responses with a sharp, 2nd-order roll-off having 33GHz and 46GHz 1-dB bandwidths, respectively. The bandpasses achieve peak extinctions of 20dB in the Thru port and losses of only a few decibels. On account of the much wider bandpasses, forward bias operation was utilized to shift the filter functions out of band. Frequency shifts of 135GHz and 200GHz are achieved with applied currents of 0.5mA and 1mA, respectively. At an applied current of 1mA, an extinction in the Drop port of >30dB is achieved at λ0 = 1501nm and >25dB at λ0 = 1533nm. Importantly, the filter shape at each resonance is maintained largely independent of the applied bias.

 figure: Fig. 6

Fig. 6 A diagram (a) and a scanning electron micrograph (b) of a 2nd order microdisk bandpass switch formed from a pair of coupled microdisk modulators. The switch operates by applying a forward bias across the p-n junction. The response of short (1501nm) and long wavelength (1533nm) bandpasses, separated by a free-spectral-range (FSR) are depicted in (c) and (d), respectively. With an applied bias of only 1.09V/1mA, the bandpasses are shifted fully out of the channel. The filter responses have 1dB bandwidths of 33GHz and 46GHz, respectively.

Download Full Size | PDF

The switch operation was demonstrated with a 10-Gb/s 231-1 PRBS and a switching voltage of only 0.6V. While the high impedance of the unterminated device nearly doubles the applied microwave voltage, the required voltage remains well within available CMOS drive levels. The filter state is switched every 6.4ns in square wave fashion and the Thru and Drop port responses are depicted in Fig. 7a . Extinctions of 16dB in the Thru port and 20dB in the Drop port were observed along with 10-to-90 percent switch times of ~2.4ns. Wide open eye-diagrams of the transmitted data in the Thru and Drop ports, shown in Fig. 7b, indicate that data integrity is maintained through both states and ports of the switch. Further, bit-error-rates (BERs) below 10−12 were measured in both states of the switch. To assess the power penalty (i.e., the required increase in received power necessary to maintain a constant BER), the BER as a function of received power was measured for the static switched states in the Thru port (blue line) and Drop ports (red line) and compared to the off-resonant case in the Thru port (see Fig. 7c). From the figure, the power penalty in the switched state of the Thru port is almost imperceptible. In the Drop port, at a BER of 10−12, the power penalty is less than 0.4dB. In either case, the impact is minimal.

 figure: Fig. 7

Fig. 7 A 10Gb/s NRZ PRBS was generated by an external lithium niobate modulator and sent through the 1533nm bandpass of the switch depicted in Fig. 3. The switch was then activated with a square-wave modulation. The outputs of both the Thru (red) and Drop (blue) ports are shown in (a). Extinctions of −16dB and −20dB are achieved in the Thru and Drop ports, respectively. (b) Eye diagrams of the 10Gb/s PRBS were obtained in the Thru and Drop ports under switch activation. No perceptible degradation in the eye diagram was observed in either port. (c) The bit-error-rate (BER) of the switch as a function of received power in the static states of the Thru and Drop ports were compared to that of the off-resonance Thru port. A power penalty close to 0dB was observed in the Thru port and a power penalty of only −0.4dB was observed in the Drop port at a BER of <10−12.

Download Full Size | PDF

The switching time of this forward-biased bandpass switch was slowed considerably compared to the reverse-biased modulator due to the increased capacitance of forward biased operation and the long carrier recombination lifetime in silicon. However, typical latencies in the routing of data in computing applications are in the many hundreds of nanoseconds due primarily to communication protocols and to a lesser extent, physical latency. So while forward biased operation is undesirable for modulators, a switching time of a few nanoseconds is more than sufficient for routing applications, and the large frequency shifts offered by the forward biased operation are necessary to achieve large extinction ratios with 40GHz bandpasses. Moreover, the static power consumption of the bandpass switches is only ~1mW and can be considerably reduced by only injecting current in the outer portions of the microdisk. That said, for bandpass switches with narrower pass-bands, based on depletion/accumulation operation, might be possible, and certainly desirable. More recently, similar demonstrations have been performed with lateral junction devices [31].

7. Conclusions

Ultralow power resonant modulators and high-speed silicon bandpass switches have the potential to significantly impact interconnection networks between nodes of a supercomputer, microprocessors, and memory, along with telecommunication networks. By integrating vertical p-n junctions in silicon microdisk resonant modulators, we minimized the device size and maximized the modal overlap with the depletion region to enable operation with drive voltages as low as 1V at data rates of as high as 12.5Gb/s without the need for signal pre-emphasis or amplification. In our lowest power devices, we achieved a communications efficiency of 3fJ/bit, a result made possible by the optimized overlap of a vertical junction device. Additionally, the vertical junction structure enabled contact to be made from the interior of the resonator and therein a hard outer wall to be formed that minimizes radiation in small diameter resonators, enabling diameters as small as 3.5μm, further reducing the capacitance and drive power of the modulator and enabling a device area of less than 10μm2. In all, the use vertical junctions in resonant modulators and switches enable the lowest power consumption, lowest voltage, and smallest device size in p-n junction silicon modulators. As an additional benefit to the use of interior contacts, higher-order active filters were constructed from multiple vertical-junction modulators without interference of the electrodes. Doing so, we demonstrated second-order active high-speed bandpass switches with ~2.5ns switching speeds, and power penalties of only 0.4dB. With the addition of high-speed bandpass switches, richly interconnected reconfigurable networks with many terabits/s of bandwidth consuming only milliwatts of power can be envisioned. Silicon microphotonics networks are on track to solve the bandwidth limitation and power consumption issues limiting electrical inter- and intra-chip networks today.

Acknowledgments

Funding for this effort came from Sandia National Laboratories Laboratory Directed Research and Development Effort along with the Microsystems Technology Office of the Defense Advanced Research Projects Agency (DARPA). Sandia is a multiprogram laboratory operated by Sandia Corporation, a Lockheed Martin Company, for the United States Department of Energy’s National Nuclear Security Administration under contract DE-AC04-94AL85000.

References and links

1. G. E. Moore, “Cramming more components onto integrated circuits,” Electronics 38(8), 114–117 (1965).

2. International Technology Roadmap for Semiconductors, (ITRS 2009). http://www.itrs.net/links/2009ITRS/Home2009.htm.

3. G. M. Amdahl, “Validity of the single processor approach to achieving large scale computing capabilities,” in Proc. AFIPS (American Federation of Information Processing Societies) Spring Joint Computer Conference (Thomson Books, Washington, DC), Vol. 30, Atlantic City, NJ, April 18–20, 1967, pp. 483–485.

4. M. D. Hill and M. R. Marty, “Amdahl’s law in the multicore era,” Computer 41(7), 33–38 (2008). [CrossRef]  

5. Exascale Computing Study: Technology Challenges in Achieving Exascale Systems, P. M. Kogge, ed. (University of Notre Dame CSE Department, 2008). http://www.cse.nd.edu/Reports/2008/TR-2008-13.pdf.

6. D. E. Atkins, K. K. Droegemeier, S. I. Feldman, H. Garcia-Molina, M. L. Klein, D. G. Messerschmitt, P. Messina, J. P. Ostriker, and M. H. Wright, Revolutionizing Science and Engineering Through Cyberinfrastructure: Report on the National Science Foundation Blue-Ribbon Advisory Panel on Cyberinfrastructure (Arlington, VA: National Science Foundation, Jan. 2003). http://www.nsf.gov/cise/sci/reports/atkins.pdf.

7. D. A. B. Miller, “Device requirements for optical interconnects to silicon chips,” Proc. IEEE 97(7), 1166–1185 (2009). [CrossRef]  

8. B. E. Little, S. T. Chu, H. A. Haus, J. Foresi, and J.-P. Laine, “Microring resonator channel dropping filters,” J. Lightwave Technol. 15(6), 998–1005 (1997). [CrossRef]  

9. TT. Barwicz, M. R. Watts, M. A. Popović, P. T. Rakich, L. Socci, F. X. Kärtner, E. P. Ippen, and H. I. Smith, “Polarization transparent microphotonic devices in the strong confinement limit,” Nat. Photonics 1(1), 57–60 (2007). [CrossRef]  

10. Q. Xu, B. Schmidt, S. Pradhan, and M. Lipson, “Micrometre-scale silicon electro-optic modulator,” Nature 435(7040), 325–327 (2005). [CrossRef]   [PubMed]  

11. Q. Xu, S. Manipatruni, B. Schmidt, J. Shakya, and M. Lipson, “12.5 Gbit/s carrier-injection-based silicon micro-ring silicon modulators,” Opt. Express 15(2), 430–436 (2007). [CrossRef]   [PubMed]  

12. M. R. Watts, D. C. Trotter, R. W. Young, and A. L. Lentine, “Ultralow power silicon microdisk modulators and switches,” in Proc. 5th IEEE Int’l Conf. Group IV Photonics, Sorrento, Italy, Sept. 2008, pp. 4–6. http://ieeexplore.ieee.org/stamp/stamp.jsp?tp=&arnumber=4638077.

13. J. Liu, M. Beals, A. Pomerene, S. Bernardis, R. Sun, J. Cheng, L. C. Kimerling, and J. Michel, “Waveguide-integrated, ultralow-energy GeSi electro-absorption modulators,” Nat. Photonics 2(7), 433–437 (2008). [CrossRef]  

14. P. Dong, S. Liao, D. Feng, H. Liang, D. Zheng, R. Shafiiha, C.-C. Kung, W. Qian, G. Li, X. Zheng, A. V. Krishnamoorthy, and M. Asghari, “Low Vpp, ultralow-energy, compact, high-speed silicon electro-optic modulator,” Opt. Express 17(25), 22484–22490 (2009). [CrossRef]   [PubMed]  

15. W. A. Zortman, M. R. Watts, D. C. Trotter, R. W. Young, and A. L. Lentine, “Low-Power High-Speed Silicon Microdisk Modulators,” in Proc. CLEO/QELS, Technical Digest (CD) (Optical Society of America, 2010), paper CThJ4. http://ieeexplore.ieee.org/xpls/abs_all.jsp?arnumber=5500977.

16. P. Dong, S. Liao, H. Liang, W. Qian, X. Wang, R. Shafiiha, D. Feng, G. Li, X. Zheng, A. V. Krishnamoorthy, and M. Asghari, “High-speed and compact silicon modulator based on a racetrack resonator with a 1 V drive voltage,” Opt. Lett. 35(19), 3246–3248 (2010). [CrossRef]   [PubMed]  

17. D. Ahn, C. Y. Hong, J. Liu, W. Giziewicz, M. Beals, L. C. Kimerling, J. Michel, J. Chen, and F. X. Kärtner, “High performance, waveguide integrated Ge photodetectors,” Opt. Express 15(7), 3916–3921 (2007). [CrossRef]   [PubMed]  

18. L. Colace, P. Ferrara, G. Assanto, D. Fulgoni, and L. Nash, “Low dark-current germanium-on-silicon near-infrared detectors,” IEEE Photon. Technol. Lett. 19(22), 1813–1815 (2007). [CrossRef]  

19. L. Chen, K. Preston, S. Manipatruni, and M. Lipson, “Integrated GHz silicon photonic interconnect with micrometer-scale modulators and detectors,” Opt. Express 17(17), 15248–15256 (2009). [CrossRef]   [PubMed]  

20. D. Feng, S. Liao, P. Dong, N.-N. Feng, H. Liang, D. Zheng, C.-C. Kung, J. Fong, R. Shafiiha, J. Cunningham, A. V. Krishnamoorthy, and M. Asghari, “High-speed Ge photodetector monolithically integrated with large cross-section silicon-on-insulator waveguide,” Appl. Phys. Lett. 95(26), 261105 (2009). [CrossRef]  

21. Y.-H. Kuo, Y. K. Lee, Y. Ge, S. Ren, J. E. Roth, T. I. Kamins, D. A. B. Miller, and J. S. Harris, “Strong quantum-confined Stark effect in germanium quantum-well structures on silicon,” Nature 437(7063), 1334–1336 (2005). [CrossRef]   [PubMed]  

22. Y. Luo, J. Simons, J. Costa, I. Shubin, W. Chen, B. Frans, M. Robinson, R. Shafiiha, S. Liao, N.-N. Feng, X. Zheng, G. Li, J. Yao, H. Thacker, M. Asghari, K. Goossen, K. Raj, A. V. Krishnamoorthy, and J. E. Cunningham, “Experimental studies of the Franz-Keldysh effect in CVD grown GeSi epi on SOI,” Proc. SPIE 7944, 79440P, 79440P-15 (2011) (Photonics West). [CrossRef]  

23. R. A. Soref and B. R. Bennett, “Electrooptical effects in silicon,” IEEE J. Quantum Electron. 23(1), 123–129 (1987). [CrossRef]  

24. A. Liu, R. Jones, L. Liao, D. Samara-Rubio, D. Rubin, O. Cohen, R. Nicolaescu, and M. Paniccia, “A high-speed silicon optical modulator based on a metal-oxide-semiconductor capacitor,” Nature 427(6975), 615–618 (2004). [CrossRef]   [PubMed]  

25. W. M. Green, M. J. Rooks, L. Sekaric, and Y. A. Vlasov, “Ultra-compact, low RF power, 10 Gb/s silicon Mach-Zehnder modulator,” Opt. Express 15(25), 17106–17113 (2007). [CrossRef]   [PubMed]  

26. A. Liu, L. Liao, D. Rubin, H. Nguyen, B. Ciftcioglu, Y. Chetrit, N. Izhaky, and M. Paniccia, “High-speed optical modulation based on carrier depletion in a silicon waveguide,” Opt. Express 15(2), 660–668 (2007). [CrossRef]   [PubMed]  

27. M. R. Watts, W. A. Zortman, D. C. Trotter, R. W. Young, and A. L. Lentine, “Low voltage, compact, depletion-mode, silicon Mach-Zehnder modulator,” IEEE J. Sel. Top. Quantum Electron. 16(1), 159–164 (2010). [CrossRef]  

28. D. H. Staelin, A. W. Morgenthaler, and J. A. Kong, Electromagnetic Waves (Prentice Hall, Englewood Cliffs, N.J., 1994).

29. A. S. Grove, Physics and Technology of Semiconductor Devices (Wiley, New York, NY, 1967).

30. M. R. Watts, D. C. Trotter, and R. W. Young, “Maximally Confined High-Speed Second Order Silicon Microdisk Switches,” in Proc. OFC/NFOEC, Technical Digest (CD) (Optical Society of America, 2008), paper PDP14. http://www.opticsinfobase.org/abstract.cfm?URI=OFC-2008-PDP14.

31. B. G. Lee, A. Biberman, N. Sherwood-Droz, C. B. Poitras, M. Lipson, and K. Bergman, “High-speed 2×2 switch for multiwavelength silicon-photonic networks–on-chip,” J. Lightwave Technol. 27(14), 2900–2907 (2009). [CrossRef]  

Cited By

Optica participates in Crossref's Cited-By Linking service. Citing articles from Optica Publishing Group journals and other participating publishers are listed here.

Alert me when this article is cited.


Figures (7)

Fig. 1
Fig. 1 (a) The change in the real and imaginary components of the refractive index are plotted as a function of carrier concentration for both electrons and holes at a wavelength of λ = 1550nm. The change in the real part of the refractive index is larger than that of the imaginary part and impacted more greatly by holes. The plots were obtained from curve fits to the experimental data in [23]. (b) A comparison of horizontal versus vertical junction modulators based on the depletion approximation. A plot of the fractional change in the waveguide depletion obtained from the depletion approximation going from a 0V to 2.5V applied for 0.25um and 0.5um silicon waveguides. The fractional change in depletion is more than a factor of 2 larger for the narrow guide with a vertical junction.
Fig. 2
Fig. 2 (a) A diagram of our vertical junction microdisk modulator, (b) a cross-section view of the lowest order TE mode of the microdisk modulator and how it overlaps the depletion region, (c) Finite Element Model (FEM) results of the carrier distribution as a function of applied voltage, and (d) the calculated optical response of the modulator as a function of applied voltage obtained by inserting the carrier distribution (c) into the mode-solver to obtain the resulting mode (b), showing quality factor and frequency shift.
Fig. 3
Fig. 3 (a) Scanning electron micrograph of the fabricated microdisk modulator, (b) measured optical responses of the microdisk as a function of applied voltage (solid) plotted alongside the simulated spectra (dashed), (c) measured optical power and switching energy using time domain reflectometry, and (d) eye diagram of a 10Gb/s non-return-to-zero pseudo-random bit-stream (PRBS).
Fig. 4
Fig. 4 (a) Diagram of a more advanced partially-doped microdisk modulator with a more intricate doping scheme designed to achieve lower capacitance and lower energy consumption, and (b) a plot of the measured frequency shifts of a partial (i.e., half) and fully doped ring as a function of applied bias. Importantly, the slope of the frequency shift curve gets steeper towards forward bias.
Fig. 5
Fig. 5 (a) TDR measurements of the instantaneous power consumption and switching energy for a 1V AC-coupled drive, (b) a 12.5Gb/s eye-diagram for a 1V AC-coupled drive signal, (c) TDR measurements of the instantaneous power consumption and switching energy for a 1.5V AC-coupled drive, and (d) a 12.5Gb/s eye-diagram for a 1.5V AC-coupled drive signal.
Fig. 6
Fig. 6 A diagram (a) and a scanning electron micrograph (b) of a 2nd order microdisk bandpass switch formed from a pair of coupled microdisk modulators. The switch operates by applying a forward bias across the p-n junction. The response of short (1501nm) and long wavelength (1533nm) bandpasses, separated by a free-spectral-range (FSR) are depicted in (c) and (d), respectively. With an applied bias of only 1.09V/1mA, the bandpasses are shifted fully out of the channel. The filter responses have 1dB bandwidths of 33GHz and 46GHz, respectively.
Fig. 7
Fig. 7 A 10Gb/s NRZ PRBS was generated by an external lithium niobate modulator and sent through the 1533nm bandpass of the switch depicted in Fig. 3. The switch was then activated with a square-wave modulation. The outputs of both the Thru (red) and Drop (blue) ports are shown in (a). Extinctions of −16dB and −20dB are achieved in the Thru and Drop ports, respectively. (b) Eye diagrams of the 10Gb/s PRBS were obtained in the Thru and Drop ports under switch activation. No perceptible degradation in the eye diagram was observed in either port. (c) The bit-error-rate (BER) of the switch as a function of received power in the static states of the Thru and Drop ports were compared to that of the off-resonance Thru port. A power penalty close to 0dB was observed in the Thru port and a power penalty of only −0.4dB was observed in the Drop port at a BER of <10−12.

Tables (1)

Tables Icon

Table 1 Comparison of the energy, voltage, and speed, extinction ratio, and insertion loss of various demonstrated silicon modulators.*

Equations (5)

Equations on this page are rendered with MathJax. Learn more.

Δ ω m = ω m 4 Δε e m * e m dv
Δ n e,h = A e,h · N e,h B e,h +j C e,h · N e,h D e,h
w= 2ε q N A + N D N A N D ( V+ ϕ B )
Δ ω e,h A e,h ω ε 0 n N B e,h 0.5 H ( ε q ( V+ ϕ B ) ) 1 2 j C e,h ω ε 0 n N D e,h 0.5 H ( ε q ( V+ ϕ B ) ) 1 2
dω dV Aω ε 0 n N B0.5 2H ( q ε ( V+ ϕ B ) ) 1 2 +jCω ε 0 n N D0.5 2H ( q ε ( V+ ϕ B ) ) 1 2
Select as filters


Select Topics Cancel
© Copyright 2024 | Optica Publishing Group. All rights reserved, including rights for text and data mining and training of artificial technologies or similar technologies.