Expand this Topic clickable element to expand a topic
Skip to content
Optica Publishing Group

Analysis of buried interfaces in multilayer mirrors using grazing incidence extreme ultraviolet reflectometry near resonance edges

Open Access Open Access

Abstract

Accurate measurements of optical properties of multilayer (ML) mirrors and chemical compositions of interdiffusion layers are particularly challenging to date. In this work, an innovative and nondestructive experimental characterization method for multilayers is discussed. The method is based on extreme ultraviolet (EUV) reflectivity measurements performed on a wide grazing incidence angular range at an energy near the absorption resonance edge of low-Z elements in the ML components. This experimental method combined with the underlying physical phenomenon of abrupt changes of optical constants near EUV resonance edges enables us to characterize optical and structural properties of multilayers with high sensitivity. A major advantage of the method is to perform detailed quantitative analysis of buried interfaces of multilayer structures in a nondestructive and nonimaging setup. Coatings of Si/Mo multilayers on a Si substrate with period d=16.4nm, number of bilayers N=25, and different capping structures are investigated. Stoichiometric compositions of Si-on-Mo and Mo-on-Si interface diffusion layers are derived. Effects of surface oxidation reactions and carbon contaminations on the optical constants of capping layers and the impact of neighboring atoms’ interactions on optical responses of Si and Mo layers are discussed.

© 2015 Optical Society of America

1. INTRODUCTION

Multilayers are coatings of optical elements used for extreme ultraviolet (EUV) and x-ray optics and spectroscopy. These coated reflectors are used as major components in EUV photolithography [1,2], soft x-ray microscopy [3], solar corona studies [4], and are also used as filters, polarizers, and beam splitters in diverse EUV and x-ray experimental setups. Thin film and multilayer (ML) characterization requires the development of new metrology approaches to perform demanding tasks of measuring parameters like thickness, interface roughness, optical constants, and stoichiometric compositions of interlayers formed due to diffusion and chemical reactions. Grazing incidence x-ray reflectivity (GI-XRR) is perhaps one of the most common techniques presently used in determining the period, roughness, and layer thickness ratio of MLs through a nonlinear least squares fitting of the experimental data. Being nondestructive, relatively easy in terms of sample alignment, and having the capacity to determine period with resolutions of the order of atomic sizes (1Å) [5] make GI-XRR one of the useful experimental methods in characterizing multilayers.

Nevertheless, XRR analysis is not sufficient for MLs designed for applications in EUV and soft x-rays simply because optical and thickness responses are different. High sensitivity of GI-XRR data of complex layer structures to measurement noise coupled with a number of input parameters to the numerical analysis return somewhat ambiguous ML parameters [6].

Recent advanced applications of MLs like, for example, in imaging and spectroscopic analysis of the Sun from ever-closer distances as envisioned in the Solar Orbiter (SOLO) mission [7,8] have put stringent requirements on the metrology. Requirements of thermal and radiation stability, structural and optical resistance to low-energy ions irradiation, and consistent performance in time of MLs are still indispensable. Correspondingly, detail analysis and characterizations demand advanced ML metrology techniques.

In recent years, a combined analysis of x-ray and EUV reflection measurements has evolved as an accurate technique in characterizing MLs. Reconstruction of optical and interface parameters of MLs from normal incidence EUV reflectivity measurements by using thicknesses from independent XRR data analysis are reported in [912]. However, such methods often resulted in poor agreements between measured and calculated EUV reflectivity curves. One reason for the misfits could be inaccurate optimizations of the GI-XRR (hereafter called XRR) data [13] and uncertainty of the algorithm to converge to a unique solution mainly due to a number of input parameters. Another potential cause could be insufficient experimental data of optical responses near resonance edges of elements that are of greater importance for EUV and soft x rays.

A recent paper on the simultaneous analysis of XRR and near-normal EUV reflection (EUVR) around the Boron edge has resulted in a doubling in accuracy of reconstructed optical and interface parameters of LaN/B MLs [12]. In a relatively recent paper, Sertsu et al. have theoretically analyzed the great potential sensitivity of ML buried interfaces to grazing incidence EUV reflection carried out near resonance edge energies [14].

The objective of this work is then to extend the EUVR method to include grazing incidence measurements and analysis to enhance accuracy of ML characterization. The grazing incidence reflectance measurements are tuned toward EUV wavelengths near absorption resonance edges where optical constants change abruptly. This grazing incidence EUV reflectance (hereafter called GI-EUVR) is selectively combined with XRR analysis. The XRR analysis is used to independently derive accurate values of ML periods since positions of Bragg peaks depend on the thickness of the bilayers (i.e., the period) and are less affected by other structural parameters [12]. The potentiality of the GI-EUVR method can be further exploited by suitable smart flexibility in ML designs (e.g., making the spacer layer sufficiently thick) to enable measurement of more than one interference Bragg peak. The XRR analysis of MLs also gives information on the Γ-ratio based on which suitable ML model (two-layer versus four-layer [15] for the GI-EUVR analysis can be chosen. At-wavelength GI-EUVR measurements near absorption edges in wide grazing incidence arrangements enable us to exploit fundamental light–matter interaction responses and available instrumentations for actual characterizations of EUV MLs. The novelty of the method lies in the sensitivity of optical constants to the configuration of an atom in its environment (i.e., resolution of fine structures) and derivation of actual statistical values of ML parameters from the contributions of every layer and interlayer throughout the ML stack to one or more Bragg peaks. The method is also potentially useful for ML damage analysis of energetic ions on different vertical zones of the ML by looking at the changes induced on different Bragg peaks compared to the corresponding peaks of the nonirradiated sample [16]. In this way, optical properties of layers, stoichiometric composition and thickness of interlayers formed at each interface of the MLs are determined with high sensitivity. Consequently, a promising application of this innovative at-wavelength metrology is to determine physical properties and stoichiometric compositions of interface diffusion layers in a nondestructive and nonimaging experimental mode.

As a test case, Si/Mo ML samples are chosen since properties of these structures have been widely studied. Atomic scattering factors of elements with atomic number Z=192 have been measured by Henke and his co-authors in the energy range of E=5030KeV [17]. The scattering factors and any relevant x-ray data of those elements are available at the Center for X-ray Optics (CXRO) database (http://henke.lbl.gov/optical_constants/). Significant work on design and performance of capping layers of ML mirrors for EUVL applications [18,19] by Sasa Bajt et al., mitigation of substrate defects in reticles using ML buffer layers by Mirkarimii [20], Mo/Si ML components for high harmonic generation sources applicable in EUV by Gullikson [21], and others are among the studies directly or indirectly contributing to the Mo/Si MLs for EUVL applications at 13.4 nm wavelength. Makhotkin and co-workers [911] from the University of Twente have made useful contributions to the development of highly reflective MLs in EUV and studied interface structures and interdiffusion formation.

Si/Mo MLs discussed now were designed for applications in solar missions in particular for the development of an imaging instrument for SOLO ESA missions [22,23] that is expected to reach as close as 0.28 AU (at the perihelion) from the Sun. For this reason, analysis of the impacts on the optics due to plasma exposure (low-energy ions bombardment) is of great interest and the technique proposed here could be potentially valuable. To the best of our knowledge, optical constants and phases of buried interfaces in MLs are analyzed and retrieved for the first time using this innovative and nondestructive method.

2. SAMPLE PREPARATION, MEASUREMENTS, AND DATA ANALYSIS

Experiments were designed in such a way that structural and optical properties of MLs can be derived with adequate reliability and consistency. In the present experiments, three Si/Mo ML samples were examined for the grazing incidence EUV reflectivity (GI-EUVR) and x-ray reflectivity. The ML samples were coated using the RF magnetron sputtering technique by RXO LLC (New York, USA) and were designed for operation at the He II 30.4 nm resonance line. The three samples (Sample_01, Sample_02, and Sample_03) consist of Si/Mo periodic structures with a period thickness d=16.4nm, a thickness ratio Γ=0.82, and tSi=13.45nm, tMo=2.95nm, and a number of periods N=25. Each sample is coated with protective capping layer (CL) structures of Si–Mo for sample_01, Ir–Mo for sample_02, and Ir–Si–Mo for sample_03 (see Table 1). In this experiment, we have tested samples that have not been exposed to any kind of irradiation or stress; consequently, they have been subject only to the effects of aging in a standard storage environment during three years. These samples will be used as reference for the analysis of those exposed to low-energy ions.

Tables Icon

Table 1. ML Design Parameters and Capping Layera

At-wavelength grazing incidence EUV reflectivity (GI-EUVR) measurements were carried out at the Bending Magnet for Emission, Absorption, and Reflectivity (BEAR) beamline of the ELETTRA Synchrotron in Trieste [24]. The BEAR beamline offers a spot size of 400 μm FWHM in the horizontal direction and 100  μm in the vertical direction, angular resolution accuracy of 0.1°, linear polarization of 90% at the considered photon energies, and relative energy resolution E/ΔE=3000 in the range of 40–1600 eV. The stability and reproducibility of the beam energy coupled with the high-accuracy control of the beamline facility operation and measurement processes allowed for increased accuracy and reliability of experimental data analysis. In addition to that, XRR measurements at hard x-ray photon energy (Cu Kα-8.0KeV) have been performed using X PERT-PRO diffractometer system [25] (voltage and current sources of 40 kV and 40 mA, respectively, in the θ2θ scan mode). The XRR source has a beam divergence of 0.03125 deg in the incidence plane.

Numerical reconstruction of ML parameters from reflectivity measurements is an inverse process and is ML model and algorithm dependent. Basic explanations of the software, reflectivity model, and optimization algorithms used to reconstruct the ML parameters are described here. Reconstruction of ML parameters from both XRR and GI-EUVR are performed in a user friendly Modeling and Analysis of Multilayer Films (IMD) software (http://www.esrf.eu/Instrumentation/software/data-analysis/xop2.3) that can be used to calculate both specular and nonspecular (diffuse) optical functions [26]. A nonlinear curve fitting to measured reflectivity curves against a goodness of fit parameter chi-square (χ2) similar to that of Pearson´s criterion [27] retrieves almost any parameter of a ML. A common practice of curve fitting in IMD embraces the generation of independent or joint confidence intervals to assure that fit parameter values are in the properly derived allowed ranges (confidence intervals) associated with the best curve fitting, based on methods given in [27,28]. In IMD, confidence intervals are calculated either in Marquardt or Levenberg–Marquardt gradient-expansion algorithms. For MLs considered here, the nonlinear curve fitting in IMD is repeated until the probability of finding fit parameter values within the confidence intervals reach 90%–98%. Therefore, the discrete thickness and optical constants given in tables and figures are determined with 90%–98% probability of finding them within the confidence intervals.

Parratt’s dynamic reflectivity model of MLs and Debye–Waller-like factor (eq2σ22) to account for interface irregularities, where σ is rms roughness and q refers to the momentum transfer vector, are chosen for the numerical calculations of reflectivity in IMD [26]. The genetic algorithm (GA) and a more complex form of it known as the differential algorithm (DE) are alternatively used in the fitting optimization. A detailed description of the GA has been published in [29]. GA is considered as a global optimization algorithm as it is generally less sensitive to the choice of initial parameter values and less susceptible to stacking at local minima even if the function contains more than one peak. In contrast to other methods, GA combines a stochastic search of global minima in a parameter space with an intelligent strategy for solution finding [30]. But also, a manual tuning of parameters to visualize in real time the resulting effect on reflectance were performed based on previous work reported in [14] to set initial parameter values relatively close to the final values. A combination of the robust GA and the possibility of manual parameter tuning has made IMD versatile software that several ML parameters can be iteratively tuned during fitting. Layer and interlayer thicknesses, optical constants, interface diffusion layers, and their stoichiometric compositions can be retrieved. To minimize numerical uncertainties due to the number of free parameters, the period of the MLs as obtained from XRR analysis is fixed in the GI-EUVR fittings. Besides, the Γ-ratio enables us to choose ML structure models based on a four-layer system (i.e., layer 1 + interlayer_01 + layer 2 + interlayer_02) due to the interface diffusion. For such a ML model, a basic roughness of 3–5 Å suffices to account for interface irregularities between interlayers and layers [31].

3. RESULTS AND DISCUSSION

Reflectivity of samples has been measured at Cu Kα and 99 eV (at the Si LIII edge) for all the ML samples. Surface and interface roughnesses in the range of 3–5 Å and layer thicknesses are varied during XRR data fittings. Uncertainties of 2Å are expected in thickness derivations due to the nominal bulk densities and subangstrom resolution limit of XRR instrumentation [32]. Using thicknesses derived from XRR analysis, GI-EUV reflectivity curves are simulated and compared to measured curves. The comparisons are addressed in the following paragraphs. For sample_01, thickness parameters from XRR analysis are given in Fig. 1(a). Simulated and measured GI-EUVR curves of this sample are given in Fig. 1(b), with the period and layer thickness for the simulation derived from the XRR analysis. There is a clear misfit between the GI-EUVR measurement near the Si resonance edge (99eV) and the corresponding calculated curve at same energy for sample_01 [see Fig. 1(b)].

 figure: Fig. 1.

Fig. 1. (a) Measured and fit curves of XRR for sample_01 with the Si/Mo capping structure. (b) Measured and simulated reflectivity at EUV (99 eV) for sample_01 with simulation thicknesses taken from the XRR fit. Note that Γ́ refers to the ratio of the Si layer to the period.

Download Full Size | PDF

Results of the XRR curve fit at the Cu Kα energy for sample_02 is also shown in Fig. 2(a). A clear misfit between measured and simulated GI-EUVR curves of sample_02 is shown in Fig. 2(a) with thickness parameters during simulation taken from the XRR fit results. For completeness, the XRR analyzed periods and the Γ-ratios of the three ML samples are summarized in the subsequent paragraph.

 figure: Fig. 2.

Fig. 2. (a) Measured and fit of XRR curves in IMD for sample_02. (b) Measured and simulated reflectivity at EUV (99 eV) for sample_02 with thicknesses taken from the XRR fit. Note that Γ́ is the ratio of the Si layer to the period.

Download Full Size | PDF

XRR fit for sample_01 (CL1) gives a period of 163.17 Å and a Γ-ratio=0.797 [Fig. 1(a)], while for sample_02 (CL2) gave a period of d=162.68Å and Γ=0.804 (Fig. 2). Similarly, for sample_03 (with CL3) the period is determined to be 162.80 Å and Γ=0.801. Period, layer thicknesses, and interface roughness are the only input parameters during the fitting. In all cases, interface roughness of 2–4 Å gives better fits of the measured XRR curves. For all the three ML samples, numerical fits to XRR measured data at Cu Kα (8.0KeV) returned ML thickness ratios (Γ́-ratios) different from the design value Γ=0.82. This is an indication of the formation of interlayers between Si and Mo layers having intermediate optical constants [33]. As independent evidence for the formation of interlayers at the interfaces of a representative Si/Mo ML structure shown in Fig. 3 and discussed in [22] was demonstrated from a high-spatial resolution transmission electron microscopy (HR-TEM) image. That sample was deposited in the same RF sputtering facility at the RXO LLC (New York, USA) deposition facility under similar ultrahigh vacuum, pressure and temperature conditions as the samples discussed in this paper. There is only a slight difference in the number of bilayers (N) compared to the current samples. Such formations of interlayers at the interfaces of ML structures reduce the ability of XRR measurements to resolve optical constants of each layer separately, which leads to observing the Γ́-ratio significantly different from its design value. The measured XRR intensity peaks are shifted with respect to the predicted peak positions, which is a typical consequence of interlayer formation [34].

 figure: Fig. 3.

Fig. 3. HR-TEM image of a Si/Mo ML discussed in [22]. The inset shows interface at the top of the ML structure.

Download Full Size | PDF

In the EUV and x-ray spectra, refractive index n is given by

n=1δ±iβ,
where 1δ is the real part (i.e., dispersive) and β is the imaginary (absorptive) part of the refractive index. Optical constants (δ and β) of silicide interlayers could be significantly different from the precursor elements (Si and Mo). Soufli and Gullikson measured optical constants of Si [35] and Mo [36] around 99 eV, i.e., near the Si LIII edge. The measurements show abrupt changes of Si optical constants (δ and β) as shown in Fig. 4 and correspondingly have high sensitivity to local environment conditions [37,38]. Optical constants of high-Z elements (Ir and Mo) in this energy range are slowly varying and only slight changes are expected unless these elements are exposed to air where oxidation and carbon contamination reactions can bring about greater changes in δ and β.

 figure: Fig. 4.

Fig. 4. Optical constants (δ and β) of Si near its L-edge resonance [31].

Download Full Size | PDF

Figures 1(b) and 2(b) show that the ML parameters obtained from XRR data analysis did not produce good fits to the corresponding measured GI-EUVR curves. Trying to get a relatively good fit to the GI-EUVR measured curves of each sample, assuming no interlayer formation, demands unreasonably big interface roughness, well beyond 10 Å. This seems to be much bigger than characteristic values of a state-of-the-art RF magnetron deposition facility [39]. On the other hand, if interface roughness were as high as 10 Å and/or beyond, reflectivity at the working wavelength for the MLs (i.e., at 30.4 nm for the MLs under investigation) would have been lower than what were measured in [22]. Besides, measured intensities of the XRR Bragg peaks would have been significantly smaller than what is shown in Figs. 1(a) and 2(a). Consequently, the XRR measurements would have produced only a few Bragg peaks because roughness exponentially decreases reflectivity of short wavelengths as described by the Nevot–Croce and Debye–Waller interface roughness models [19,20]. However, it was possible to measure higher order Bragg peaks (up to 10–12) in a wide range of grazing incidence angles (approximately up to 6°), see Figs. 1(a) and 2(a). XRR derived thicknesses of the MLs did not produce good fits with the measured GI-EUVR curves as given in Figs. 1(b) and 2(b). Introducing interlayers (called the four-layer ML model) at the interfaces reasonably solves the misfits of reflectivity curves as demonstrated in the following paragraphs.

In such four-layer modeling of MLs, the unavoidable surface finish and interface irregularities that are accounted for by introducing basic Debye–Waller-like interface errors in the range of 3–5 Å produce good fits to the Bragg peak intensities. Note that periods of MLs derived from XRR data analysis are used during the GI-EUVR data-fitting algorithms. Therefore, only optical constants and thicknesses of each layer and interlayer eventually become the only input parameters during the GI-EUVR fitting. The fitting returns optical constants of the diffusion layers from which stoichiometric composition can be retraced. Optical impacts of physical and chemical interactions on any of the ML layers, especially layers on the top exposed to air, can be achieved by comparing fit results with measured values in the literature. For Si and Mo, derived optical constants are easily compared with measured values by Henke et al. in [35] and [36], respectively, hereafter referred to as the CXRO database. However, only derivations of δ values are discussed in this work due to the high sensitivity of EUV and x-ray reflection measurements to it. Approximate values of βs are also given but with less accuracy and thus are not discussed here. The first result of best fitting to the GI-EUVR data curve is given in Fig. 5 for sample_01. For this sample, optical constants for each layer and interlayer obtained from the numerical fitting are summarized in Table 2.

Tables Icon

Table 2. GI-EUVR Optical Fit Parameters (δ and β) for Sample_01 at 99 eV Photon Energy Optical Constants from the Numerical Fita

 figure: Fig. 5.

Fig. 5. Measured and fit curves of GI-EUVR at 99 eV for sample_01. The table (right) contains thickness fit parameters of layers and interlayers. GI-EUVR has high tolerance to capping layer thicknesses (about 10 Å) unlike the interior layers with <2Å tolerance.

Download Full Size | PDF

The Si layer at the capping structure has shown significant change in optical constant (δ) when compared to the value contained at the CXRO database (Table 2, row 1). However, the Si layer in the ML structure is less affected (row 2). Mo layers (high-Z element) in the capping and ML structures have shown little change in δ. The significant change in δ of the Si layer at the capping structure is a manifestation of the formation of the thin SiO2 layer (approximately 10–20 Å) due to exposure to air since a separate oxide layer was not included in the ML modeling. Thanks to the high sensitivity of the Si optical properties near its EUV edge, it is possible to quantify the impact of the oxide layer on the Si optical constants that caused the large deviation. The fitting algorithm to measure GI-EUVR data for sample_01 (Si–Mo capping) resulted in similar values of optical constants for the two types of interfaces. Mo-on-Si interfaces returned δ=0.02135 and Si-on-Mo interfaces yielded δ=0.02558. Phases of interlayers are approximately derived by comparing only to the CXRO database and Ref. [34] since measured values for all possible silicide do not exist in the literature. Accordingly, both δ values of the interlayers silicide lie somewhere between MoSi2 and Mo5Si3 [34]. Formation of different silicide compounds at the Si-on-Mo and Mo-on-Si interfaces are attributed to the difference in enthalpy and the mixing ratio of Mo and Si atoms.

Reconstructed thicknesses and optical constants of sample_02 from the corresponding GI-EUVR fit are given in Fig. 6 and Table 3.

Tables Icon

Table 3. GI-EUVR Optical Fit Parameters for Sample_02 at 99 eV Photon Energy Optical Constants from the Numerical Fita

 figure: Fig. 6.

Fig. 6. Measured and fit curves of GI-EUVR at 99 eV for sample_02. The table (right) contains thickness fit parameters of layers and interlayers. Thicknesses are determined with a tolerance error of <2Å.

Download Full Size | PDF

For sample_02 (Ir–Mo capping), optical constants of both Mo-on-Si and Si-on-Mo interlayers are close to that of MoSi2 [34]. Mo layers throughout the structure also show changes in the range of 10% to 15%. The possible interface formation between Ir and Mo layers at the capping structure of sample_02 is accounted for by the changes of δs of Mo and Ir. The iridium (Ir) layer at the top of the capping structure revealed only about 7% change in optical constant (δ). This indicates superior stability and resistance of Ir to oxidation and carbon contaminations. This fact has made Ir-based coatings (e.g., Ir–Mo) better candidates for capping structures of MLs with better stability and performance as reported in [40]. Si layers in the ML structures of sample_02 show about 39% change in δ (Table 3). This large deviation in δ of Si layers explains the highest peak intensity difference between the first- and second-order Bragg peaks in sample_02 (0.17), while it is about 0.10 for samples 1 and 3. This can be considered as an implicit manifestation of the high sensitivity nature of Si optical properties to local atoms and interactions at its resonance edges.

In a similar fashion, results of sample_03 using the same fit algorithm are summarized in Fig. 7 and Table 4. Interface diffusion layers with δ=0.01383 for Mo-on-Si interfaces and δ=0.01037 for the Si-on-Mo interfaces are obtained from the numerical fitting. Both δ values are closer to the optical constant of a silicide with the stoichiometric composition of MoSi2.

Tables Icon

Table 4. GI-EUVR Optical Fit Parameters for Sample_03 at 99 eV Photon Energy Optical Constants from the Numerical Fita

 figure: Fig. 7.

Fig. 7. Measured and fit curves of GI-EUVR at 99 eV for sample_03. The table (right) contains thickness fit parameters of layers and interlayers. Thicknesses are determined with a tolerance error of <2Å.

Download Full Size | PDF

For sample_03, there exist Ir-Si-Mo layers as capping structures. This increases the uncertainty in determining optical and thickness parameters of the capping structures. Thus, only results of interior ML layers are derived within reasonable accuracy. Beta (β) values are not included in Table 4 since the analysis implemented does not describe them anyway.

Based on the results presented above, GI-EUVR measurements near the resonance edge of Si atoms show great sensitivity to various parameters of the layer and interface structures. In fact, it results in different thicknesses of interlayers between Si-on-Mo and Mo-on-Si interfaces. This is consistent with the asymmetric interlayer formation reported in several publications [23,24]. Optical constants of layers and interlayers are derived near the Si EUV resonance edge. The optical constants derived slightly deviate from the CXRO database, and optical properties of interlayers demonstrate different stoichiometric compositions of silicide. The real and imaginary coefficients of the refractive indices of the interlayers correspond clearly to the MoxSiy compound formation, although the imaginary parts of the coefficient are less sensitive to the stoichiometric ratio of the elements. It is also important to note that optical constants of Si in the inner ML structures are essentially the same for all three samples, while the corresponding values for Si in the capping layer are found significantly different between samples. Ir has been used as a capping layer in samples 2 and 3. Some change in its optical constants was observed, although the difference with respect to the CXRO data is definitely less than for Si. This observation can be justified with the fact that the top-most layers exposed to the lab environment suffer from different contamination processes, involving mainly a chemical reaction with carbon and oxygen. As reported elsewhere, Si when exposed to air forms an oxide layer typically 1 nm thick; Ir demonstrates a higher resistance to oxidation and better stability.

4. SUMMARY

A combination of measurements of x-ray reflectivity and wide grazing incidence EUV reflectivity at resonance edges are applied to characterize Si/Mo MLs. High depth resolution due to the short x-ray wavelength (1.54Å) of XRR techniques determines periods of ML structures with better accuracy. At-wavelength EUV reflectivity data, measured in a wide grazing incidence setup, enables us to retrieve layer thicknesses and optical properties accurately. The combinations of the methods reveal the presence of interlayers and allows us to retrieve chemical compositions for both Si-on-Mo and Mo-on-Si interfaces. The sensitivity of Si optical properties at the EUV resonance edge to the presence of neighboring atoms (e.g., Mo and Ir atoms) [25] is quantified for the three ML samples. In addition to that, effects of surface oxidation and/or carbon contamination on the optical properties of the capping layers are quantitatively derived.

In conclusion, we have clearly shown that GI-EUVR at the resonance edges of low-Z elements (spacer elements) is a potent technique for diagnosing buried interfaces, layer analysis, and characterizing ML structures in combination with XRR and/or other methods. This nonimaging, nondestructive, optically sensitive, and experimentally simpler technique is particularly useful for the accurate interface analysis of MLs. This GI-EUVR technique may be extended to the study of interfaces helping to develop Si-based structures for microelectronic devices.

Funding

EU FP7 Erasmus Mundus Joint Doctorate Programme (FPA-2012-0033).

Acknowledgment

We thank D. L. Windt for making the ML samples. Special thanks goes to Prof. G. Mattei of the Physics and Astronomy Department of Padova University for facilitating the XRR measurements and to P. Zuppella and A. Maryasov for helpful discussions.

REFERENCES

1. J. A. Folta, S. Bajt, T. W. Barbee, R. F. Grabner, P. B. Mirkarimi, T. Nguyen, M. A. Schmidt, E. Spiller, C. C. Walton, M. Wedowski, and C. Montcalm, “Advances in multilayer reflective coatings for extreme-ultraviolet lithography,” Proc. SPIE 3676, 702–709 (1999). [CrossRef]  

2. M. Wedowski, E. M. Gullikson, J. H. Underwood, E. A. Spiller, C. Montcalm, P. A. Kearney, S. Bajt, M. A. Schmidt, and J. A. Folta, “Characterization of multilayer reflective coatings for extreme ultraviolet lithography,” Proc. SPIE 3331, 108–111 (2000).

3. D. G. Stearns, D. P. Gaines, D. W. Sweeney, and E. M. Gullikson, “Nonspecular x-ray scattering in a multilayer-coated imaging system,” J. Appl. Phys. 84, 1003–1028 (1998). [CrossRef]  

4. D. Martinez-Galarce, R. Soufli, D. L. Windt, M. Bruner, E. Gullikson, S. Khatri, E. Spiller, J. C. Robinson, S. Baker, and E. Prast, “Multisegmented, multilayer-coated mirrors for the solar ultraviolet imager,” Opt. Eng. 52, 095102 (2013). [CrossRef]  

5. A. Gibaud and S. Hazra, “X-ray reflectivity and diffuse scattering,” Current Sci. 78, 1467–1477 (2000).

6. R. J. Matyi, “HIGH resolution x-ray reflectometry: theory, practice, accuracy and precision,” in Analytical and Diagnostic Techniques for Semiconductor Materials, Devices and Processes (Electrochemical Society, 2003), pp. 440–454.

7. J. P. Halain, P. Rochus, E. Renotte, T. Appourchaux, D. Berghmans, L. Harra, U. Schuehled, W. Schmutz, F. Auchere, A. Zhukov, C. Dumesnil, F. Delmotte, T. Kennedy, R. Mercier, D. Pfiffner, L. Rossi, J. Tandy, A. BenMoussa, and P. Smith, “The EUI instrument on board the solar orbiter mission: from breadboard and prototypes to instrument model validation,” Proc. SPIE 8443, 844307 (2012). [CrossRef]  

8. D. Muller, R. G. Marsden, O. C. St Cyr, and H. R. Gilbert, “Solar orbiter exploring the Sun-heliosphere connection,” Solar Phys. 285, 25–70 (2013). [CrossRef]  

9. I. A. Makhotkin, E. Zoethout, E. Louis, A. M. Yakunin, S. Muellender, and F. Bijkerk, “Wavelength selection for multilayer coatings for lithography generation beyond extreme ultraviolet,” J. Micro/Nanolithogr. MEMS MOEMS 11, 040501 (2012). [CrossRef]  

10. I. A. Makhotkin, E. Zoethout, E. Louis, A. M. Yakunin, S. Muellender, and F. Bijkerk, “Spectral properties of La/B-based multilayer mirrors near the boron K absorption edge,” Opt. Express 20, 11778–11786 (2012). [CrossRef]  

11. I. A. Makhotkin, E. Zoethout, R. van de Kruijs, S. N. Yakunin, E. Louis, A. M. Yakunin, V. Banine, S. Muellender, and F. Bijkerk, “Short period La/B and LaN/B multilayer mirrors for similar to 6.8 nm wavelength,” Opt. Express 21, 29894–29904 (2013). [CrossRef]  

12. S. N. Yakunin, I. A. Makhotkin, K. V. Nikolaev, R. W. E. van de Kruijs, M. A. Chuev, and F. Bijkerk, “Combined EUV reflectance and x-ray reflectivity data analysis of periodic multilayer structures,” Opt. Express 22, 20076–20086 (2014). [CrossRef]  

13. K. Le Guen, M. H. Hu, J. M. Andre, P. Jonnard, S. K. Zhou, H. C. Li, J. T. Zhu, Z. S. Wang, and C. Meny, “Development and interfacial characterization of Co/Mg periodic multilayers for the EUV range,” J. Phys. Chem. C 114, 6484–6490 (2010). [CrossRef]  

14. S. M. Giday, P. Zuppella, M. G. Pelizzo, and P. Nicolosi, “Exploring EUV near absorption edge optical constants for enhanced and sensitive grazing incidence reflectivity,” Proc. SPIE 8861, 886111 (2013). [CrossRef]  

15. M. H. Modi, G. S. Lodha, M. Nayak, A. K. Sinha, and R. V. Nandedkar, “Determination of layer structure in Mo/Si multilayers using soft x-ray reflectivity,” Physica B 325, 272–280 (2003). [CrossRef]  

16. M. G. Sertsu, A. Giglia, L. Juschkin, and P. Nicolosi, “Irradiation of low energy ions damage analysis on multilayers,” Proc. SPIE 9511, 95110P (2015). [CrossRef]  

17. B. L. Henke, E. M. Gullikson, and J. C. Davis, “X-ray interactions–photoabsorption, scattering, transmission, and reflection AT E = 50–30,000 EV, Z = 1–92,” At. Data Nucl. Data Tables 54, 181–342 (1993). [CrossRef]  

18. S. Bajt, H. N. Chapman, N. Nguyen, J. Alameda, J. C. Robinson, M. Malinowski, E. Gullikson, A. Aquila, C. Tarrio, and S. Grantham, “Design and performance of capping layers for EUV multilayer mirrors,” Appl. Opt. 42, 5750–5758 (2003).

19. S. A. Bajt, H. N. Chapman, N. Nguyen, J. Alameda, J. C. Robinson, M. Malinowski, E. Gullikson, A. Aquila, C. Tarrio, and S. Grantham, “Design and performance of capping layers for extreme-ultraviolet multilayer mirrors,” Appl. Opt. 42, 5750–5758 (2003). [CrossRef]  

20. P. B. Mirkarimii, S. Bajt, and D. G. Stearns, “Mitigation of substrate defects in reticles using multilayer buffer layers,” Patents WO2001040871 A1 (7 June 2001).

21. E. M. Gullikson, C. N. Anderson, S.-S. Kim, D. Lee, R. Miyakawa, F. Salmassi, and P. P. Naulleau, “Molybdenum/silicon multilayer components for high harmonic generation sources,” Appl. Opt. 54, 4280–4284 (2015). [CrossRef]  

22. A. J. Corso, P. Zuppella, P. Nicolosi, D. L. Windt, E. Gullikson, and M. G. Pelizzo, “Capped Mo/Si multilayers with improved performance at 30.4 nm for future solar missions,” Opt. Express 19, 13963–13973 (2011). [CrossRef]  

23. S. Fineschi, E. Antonucci, M. Romoli, A. Bemporad, G. Capobianco, G. Crescenzio, G. Nicolini, G. Massone, D. Telloni, M. Focardi, F. Landini, M. Pancrazzi, L. Poletto, M.-G. Pelizzo, V. Da Deppo, J. D. Moses, V. Andretta, G. Naletto, P. Nicolosi, D. Spadaro, A. Berlicki, M. Uslenghi, M. Malvezzi, L. Teriaca, L. Abbo, and E. Magli, “Novel space coronagraphs: METIS, a flexible optical design for multi-wavelength imaging and spectrography,” Proc. SPIE 8862, 88620G (2013). [CrossRef]  

24. S. Nannarone, F. Borgatti, A. DeLuisa, B. P. Doyle, G. C. Gazzadi, A. Giglia, P. Finetti, N. Mahne, L. Pasquali, M. Pedio, G. Selvaggi, G. Naletto, M. G. Pelizzo, and G. Tondello, “The BEAR beamline at ELETTRA,” in AIP Conference Proceedings (2004), pp. 450–453.

25. M. Oetzel and G. Heger, “Laboratory x-ray powder diffraction: a comparison of different geometries with special attention to the usage of the CuK alpha doublet,” J. Appl. Crystallogr. 32, 799–807 (1999). [CrossRef]  

26. D. L. Windt, “IMD–software for modeling the optical properties of multilayer films,” Comput. Phys. 12, 360–370 (1998). [CrossRef]  

27. M. Lampton, B. Margon, and S. Bowyer, “Parameter-estimation in x-ray astronomy,” Astrophys. J. 208, 177–190 (1976). [CrossRef]  

28. T. Yaqoob, “Parameter estimation in x-ray astronomy revisited,” Astrophys. J. 500, 893–898 (1998). [CrossRef]  

29. P. D. Binda and F. E. Zocchi, “Genetic algorithm optimization of x-ray multilayer coatings,” Proc. SPIE 5539, 97–108 (2004). [CrossRef]  

30. A. Ulyanenkov, K. Omote, and J. Harada, “The genetic algorithm: refinement of x-ray reflectivity data from multilayers and thin films,” Physica B 283, 237–241 (2000). [CrossRef]  

31. J. Serafinczuk, J. Pietrucha, G. Schroeder, and T. P. Gotszalk, “Thin film thickness determination using x-ray reflectivity and Savitzky–Golay algorithm,” Opt. Appl. 41, 315–322 (2011).

32. P. Lemoine, J. P. Quinn, P. D. Maguire, and J. A. D. McLaughlin, “Measuring the thickness of ultra-thin diamond-like carbon films,” Carbon 44, 2617–2624 (2006). [CrossRef]  

33. A. I. Fedorenko, Y. P. Pershin, O. V. Poltseva, A. G. Ponomarenko, D. L. Voronov, and S. A. Yulin, “Structure of interfaces in multilayers,” in Interfacial Engineering for Optimized Properties, C. L. Briant, C. B. Carter, and E. L. Hall, eds. (Cambridge University, 1997), pp. 249–254.

34. M. Nayak and G. S. Lodha, “Optical response near the soft x-ray absorption edges and structural studies of low optical contrast system using soft x-ray resonant reflectivity,” J. At. Mol. Opt. Phys. 2011, 649153 (2011).

35. R. Soufli and E. M. Gullikson, “Reflectance measurements on clean surfaces for the determination of optical constants of silicon in the extreme ultraviolet-soft-x-ray region,” Appl. Opt. 36, 5499–5507 (1997). [CrossRef]  

36. D. Spiga, A. Mirone, G. Pareschi, R. Canestrari, V. Cotroneo, C. Ferrari, C. Ferrero, L. Lazzarini, and D. Vernani, “Characterization of multilayer stack parameters from x-ray reflectivity data using the PPM program: measurements and comparison with TEM results,” Proc. SPIE 6266, 626616 (2006). [CrossRef]  

37. M. Banyay, L. Juschkin, E. Bersch, D. Franca, M. Liehr, and A. Diebold, “Cross characterization of ultrathin interlayers in HfO2 high-k stacks by angle resolved x-ray photoelectron spectroscopy, medium energy ion scattering, and grazing incidence extreme ultraviolet reflectometry,” J. Vac. Sci. Technol. A 30, 041506 (2012). [CrossRef]  

38. R. Soufli and E. M. Gullikson, “Absolute photoabsorption measurements of molybdenum in the range 60–930 eV for optical constant determination,” Appl. Opt. 37, 1713–1719 (1998). [CrossRef]  

39. D. L. Windt and W. K. Waskiewicz, “Multilayer facilities required for extreme-ultraviolet lithography,” J. Vac. Sci. Technol. B 12, 3826–3832 (1994). [CrossRef]  

40. M. G. Pelizzo, M. Suman, G. Monaco, P. Nicolosi, and D. L. Windt, “High performance EUV multilayer structures insensitive to capping layer optical parameters,” Opt. Express 16, 15228–15237 (2008). [CrossRef]  

Cited By

Optica participates in Crossref's Cited-By Linking service. Citing articles from Optica Publishing Group journals and other participating publishers are listed here.

Alert me when this article is cited.


Figures (7)

Fig. 1.
Fig. 1. (a) Measured and fit curves of XRR for sample_01 with the Si/Mo capping structure. (b) Measured and simulated reflectivity at EUV (99 eV) for sample_01 with simulation thicknesses taken from the XRR fit. Note that Γ́ refers to the ratio of the Si layer to the period.
Fig. 2.
Fig. 2. (a) Measured and fit of XRR curves in IMD for sample_02. (b) Measured and simulated reflectivity at EUV (99 eV) for sample_02 with thicknesses taken from the XRR fit. Note that Γ́ is the ratio of the Si layer to the period.
Fig. 3.
Fig. 3. HR-TEM image of a Si/Mo ML discussed in [22]. The inset shows interface at the top of the ML structure.
Fig. 4.
Fig. 4. Optical constants ( δ and β ) of Si near its L-edge resonance [31].
Fig. 5.
Fig. 5. Measured and fit curves of GI-EUVR at 99 eV for sample_01. The table (right) contains thickness fit parameters of layers and interlayers. GI-EUVR has high tolerance to capping layer thicknesses (about 10 Å) unlike the interior layers with < 2 Å tolerance.
Fig. 6.
Fig. 6. Measured and fit curves of GI-EUVR at 99 eV for sample_02. The table (right) contains thickness fit parameters of layers and interlayers. Thicknesses are determined with a tolerance error of < 2 Å .
Fig. 7.
Fig. 7. Measured and fit curves of GI-EUVR at 99 eV for sample_03. The table (right) contains thickness fit parameters of layers and interlayers. Thicknesses are determined with a tolerance error of < 2 Å .

Tables (4)

Tables Icon

Table 1. ML Design Parameters and Capping Layer a

Tables Icon

Table 2. GI-EUVR Optical Fit Parameters ( δ and β ) for Sample_01 at 99 eV Photon Energy Optical Constants from the Numerical Fit a

Tables Icon

Table 3. GI-EUVR Optical Fit Parameters for Sample_02 at 99 eV Photon Energy Optical Constants from the Numerical Fit a

Tables Icon

Table 4. GI-EUVR Optical Fit Parameters for Sample_03 at 99 eV Photon Energy Optical Constants from the Numerical Fit a

Equations (1)

Equations on this page are rendered with MathJax. Learn more.

n = 1 δ ± i β ,
Select as filters


Select Topics Cancel
© Copyright 2024 | Optica Publishing Group. All rights reserved, including rights for text and data mining and training of artificial technologies or similar technologies.