Expand this Topic clickable element to expand a topic
Skip to content
Optica Publishing Group

Subwavelength diamond gratings for vortex coronagraphy: towards an annular groove phase mask for shorter wavelengths and topological charge 4 designs

Open Access Open Access

Abstract

In this paper, we address two challenges of subwavelength grating manufacturing: the need for grating with a smaller feature size to produce components working at shorter wavelengths, and the more complex grating design to achieve higher topological charge masks. First, we demonstrate the feasibility of topological charge 2 components (so-called annular groove phase masks) in diamond to be used in the IR region at the K- (1.95–2.35 µm) and H-band (1.48–1.77 µm). The manufactured phase masks are optically evaluated using a coronagraph test bench and a polarization/phase shift test bench to determine peak attenuation, phase shift and local fast axis orientation. Secondly, in preparation for the upcoming generation of extremely large telescopes, vector vortex phase masks for coronagraphy with topological charge 4 are realized in diamond for the L-band (3.4-4.1 µm). These phase masks, consisting of discrete subwavelength gratings, should reduce sensitivity to low-order aberrations compared to topological charge 2 components that we have previously demonstrated for the N- (11-13.2 µm) and L-band.

© 2018 Optical Society of America under the terms of the OSA Open Access Publishing Agreement

Corrections

6 July 2018: A typographical correction was made to the abstract.

1. Introduction

Direct imaging of objects close to a star, such as planets and circumstellar disks is difficult to achieve without starlight rejection, as stars can outshine their environment by a factor of a few thousands to a few billions. Even so, progress is being made in the fields of direct imaging of exoplanets [1] and characterization of proto-star systems [2, 3]. This progress is likely to accelerate due to a new generation of 30 m-class telescopes, such as the European ELT, already under construction. Direct imaging is achieved with coronagraphy which either obstructs the light by blocking it with an opaque mask or cancels the light by modifying the wavefront phase with a transparent phase mask. In 2005 D. Mawet et al. proposed a vector phase mask known as the Annular Groove Phase Mask (AGPM) [4], comprising a circular subwavelength grating that induces form-birefringence. Since 2010 our group has been working to design, manufacture and optically evaluate AGPMs in diamond for the L- (3.4-4.1 µm) and N-bands (11-13.2 µm) [5–7]. A method for increasing or decreasing the depth of the grating for the L-band has been developed [7], which allows optimization of the phase mask rejection ratio. The phase masks have been installed in infrared coronagraphic instruments (N- and L-band) on several 10-m class telescopes (VLT/NACO [8], VLT/VISIR [9], LBT/LMIRCam [10] and Keck/NIRC2 [1–3]) around the world. Following the success of these infrared coronagraphs, AGPMs for the NIR (1–3 μm) and ultimately for VIS (400–700 nm) spectral bands have become attractive, in particular for current high contrast instruments like VLT/SPHERE. We aim to cover the entire thermal infrared regime (1–14 μm) using available equipment and methods, and to further optimize our etching processes to be able to achieve even smaller feature sizes. In this paper we present the latest progress in working towards smaller feature sizes for gratings to be used in the K-band (1.95–2.35 μm) and H-band (1.48–1.77 μm).

As telescope mirrors grow larger so does the sensitivity to experimental errors such as tip-tilt, focus, coma and astigmatism. One way to reduce sensitivity to low-order aberrations is to increase the topological charge of the vector vortex coronagraph [11], at the cost of a reduced total discovery space close to the star (i.e. it becomes more difficult to detect a planet that is close to its star). The topological charge of an optical vortex beam is defined as the number of times the Pancharatnam phase accumulates 2π along a closed path surrounding the singularity [12]. The annular groove phase mask produces a vortex with a topological charge of 2. Higher topological charge components have been made using Liquid Crystal Polymers (LCP) [13], to be used in VIS and NIR, but this kind of component is not suitable for L-band as LCP transmission becomes an issue at those longer wavelengths. In addition to the large transmission window in the infrared, diamond presents low thermal expansion and high thermal conductivity which are advantageous as the components in telescopes are cooled to 77 K with liquid nitrogen. In this paper we describe the fabrication and optical evaluation of first L-band charge 4 vector vortex phase masks in diamond.

2. Design

As it is not possible to manufacture completely achromatic components for large wavelength domains, the AGPMs have been optimized for wavelengths that match the instrumentation for VLT, LBTI and KECK. In order to satisfy the subwavelength criterion the grating period scales linearly with the shortest wavelength it is designed for. For a H-band component the period is therefore less than half that is used for an L-band AGPM. Design and performance prediction of an AGPM profile are carried out using Rigorous Coupled Wave Analysis (RCWA) [14–16] to model the transmission and phase shift properties of the phase mask. From these we calculate the expected reduction of light at the center of the vortex, the null depth (Eq. (10) in [4]). The null depth is integrated over the wavelength region of interest and finally the rejection ratio is calculated by taking the inverse of the averaged null depth. The optimal parameters to achieve a rejection ratio of at least 200 (500 for bands above K), which is needed to be considered for installation in telescopes, are presented in Table 1. The grating parameters are the grating period Λ, the line width w (defined at the top of the grating), the depth of the grating d, and the sidewall angle α (Fig. 1). The grating period is set to fulfill the subwavelength criterion; Λ < λ/ni, where λ is the shortest wavelength in the band (i.e. 11.0 μm, 3.4 μm, 1.95 μm, and 1.48 μm for the N-, L-, K- and H-bands) and ni is the refractive index. The Sellmeier equation was used to determine ni for diamond, which is ~2.38 for all wavelengths considered (1.48–13.2 μm).

Tables Icon

Table 1. Parameters for AGPMs

 figure: Fig. 1

Fig. 1 Upper left, photograph of an AGPM, upper right, SEM micrograph of an AGPM, bottom left, 3D cross section of an AGPM and bottom left, schematic of grating shown in red square, bottom right.

Download Full Size | PDF

The sidewall angle is determined from a preliminary diamond etch test as it can vary depending on the condition of the Inductively Coupled Plasma Reactive Ion Etching-chamber ICP-RIE. Depending on the initial result it is possible to adjust the process parameters to reach a sidewall angle which gives the widest region of acceptable performances [6] for varying w and d. The variation in angle gives freedom for both w and d. The line width can both decrease or increase depending on the amount of residual resist layer left after the lithography step and on the anisotropy of the aluminum etch process as it can etch underneath the mask pattern. However, the diamond etching preserves the line width of the grating as long as the mask is sufficiently thick [7]. The line width is therefore set to about 50% of Λ in the electron beam printed master pattern as it still can produce a good component while the the line width is between 40 and 60% of Λ. The depth of the grating is only chosen after measuring the line width of the Al mask after etching. The maximum depth of the grating is limited by the smallest sidewall angle we can achieve with our etch process, but this is usually much deeper than the optimal depth of the grating and not a limiting factor in our design.

To further increase the performance of the AGPMs it is important to remove the backside reflections as it can produce an unwanted internal double reflection in the component [17]. To prevent the reflection of 17% inherent to a diamond surface, an Anti-Reflective Grating (ARG) is etched on the backside of the diamond substrate. This will allow for more of the illuminating light to be transmitted [18]. All of the manufactured AGPMs have ARGs etched on the back which decreases the reflection from the backside surface to less than 2% over the whole bandwidth of the component.

For vortex masks with higher topological charge than 2, the subwavelength grating can no longer be made continuous as in the case of the AGPMs. Higher topological charge structures have been proposed and fabricated in GaAs with an anti-reflective coating on the backside by Niv et al. [19]. Their proposed design is discrete, consisting of sectors of equal area of πr2/N, where r is the radius of the pattern and N is the number of sectors. Similarly, we propose a design where the phase mask is divided into sectors, each filled with a linear grating, whose grating vector rotates twice as fast as the polar angle. The grating period and filling factor (w/Λ) are kept constant. Two problems need to be solved, the first one is to avoid the presence of too many sectors since the pattern will become impossible to resolve near the center and the second one is to create a pseudo-continuous rotation of the fast axis since the pattern is still discontinuous [20]. Two variants composed of 8 and 32 sectors respectively (Fig. 2) were realized to determine if a local fast axis rotation of 4π is viable and how the transitions between sectors affects the performance.

 figure: Fig. 2

Fig. 2 Grating designs for the 8 sector (left) and 32 sector masks (right) showing the first 10 periods.

Download Full Size | PDF

The grating parameters for these Subwavelength Grating Vortex phase masks with topological Charge 4 (SGVC4s) were calculated using the same RCWA code as for the AGPMs (or SGVC2s).

3. Manufacturing

For all the phase masks, polycrystalline Chemical Vapor Deposition (CVD) diamond substrates of optical quality (Diamond Materials GmbH) were used. The substrates were circular with a diameter of 10 mm and thickness of 300 μm. For each produced component, two substrates were prepared in parallel: one of them leading to a functional coronagraphic element, while the second one (twin) was cracked for cross-sectional characterization, as there is no method yet for measuring the grating parameters without breaking the component [7]. Cleaning and pattern transfer steps as well as the aluminum and silicon etching are described in detail in [6], the main difference is in the lithography technique known as Solvent Assisted Microcontact Molding (SAMIM). The K- and H-band master pattern generated by Electron Beam Lithography (EBL) were thinner than before and required dilution of the resist/solvent solution (diluted 1:2 for the K-band and 1:4 for the H-band) that was spin coated to leave a 200 nm or 100 nm thick film. All diamond substrates were glued to a carrier wafer with wax (Crystalbond 509) as the ICP-RIE system (PlasmaTherm SLR) is made for 4 or 6 inch silicon wafers.

After the mask etching steps the diamond was etched with an oxygen plasma using following etch recipe; oxygen gas flow 40 sccm, process pressure 5 mTorr, ICP power 900 W and RIE power (bias) 320 W. The etching was stopped before reaching the calculated optimal depth of the grating and the twin sample was cracked and analyzed using SEM to determine the grating parameters and etch rate. A final etch time was then set, the twin was once again glued to the carrier wafer and the etching continued. Following the final etch step, both substrates were stripped of their remaining mask material and re-deposited Al2O3 in H2SO4:H2O2 and HF:HNO3 then rinsed in water and iso-propanol and finally dried with nitrogen.

When SGVC4s were manufactured, a SGVC2 pattern was used as the twin sample. A twin SGVC4 could be cracked, but the continuous pattern of the SGVC2 makes it much more likely that the grating lines are perpendicular to the cracked face at some point along the crack. See Fig. 3 for cross section of the twin diamond grating after 28 minutes of etching. From several images along the cracked sample, the depth of the grating was measured to be ~4.72 µm, the etch rate was determined to be 168 nm/min, the line width was 700 nm and the sidewall angle ~2.1 °. The diamond was then etched for 2 more minutes and reached a final grating depth of ~5.05 µm, see Fig. 3.

 figure: Fig. 3

Fig. 3 SEM micrograph of the cross section of a L-band test grating after (left) 28 min of diamond etching and (right) 30 min of diamond etching. The etch mask is still present in both pictures.

Download Full Size | PDF

As the SGVC4s were etched in parallel and at close positions to the SGVC2 (twin) on the carrier wafer, the profile parameters are expected to be similar. The SGVC4 pattern has a lot of sharp edges but no severe rounding effects of the edges were observed during the metal mask etching, see Fig. 4.

 figure: Fig. 4

Fig. 4 SEM micrograph of the structured metal mask for the SGVC4 32-sector component, diamond can be seen as the substrate.

Download Full Size | PDF

4. Grating characterization

As a cross section could not easily be acquired, angled close-ups of the central part of the SGVC4s before and after cleaning were imaged using a SEM (ZEISS, MERLIN), see Fig. 5 and Fig. 6. The cleaned sample was sputtered with 10 nm aluminum to reduce charging effects in the SEM.

 figure: Fig. 5

Fig. 5 SGVC4 pattern for 8 and 32 sector etched in diamond with Al mask remaining and Al2O3 re-deposited on the sides.

Download Full Size | PDF

 figure: Fig. 6

Fig. 6 SGVC4 in diamond with mask layers removed, and a thin layer of sputtered Al. Sharp edges are resolved and re-deposited material has not disturbed the structure. During the Al sputtering process the samples were contaminated with carbon nanotubes (from an unrelated experiment), these do not appear to have affected the optical performance.

Download Full Size | PDF

The line width was measured to be 700 nm as for the SGVC2 and both the depth and sidewall angle are assumed to be the same as those of the cracked twin sample.

The centers of the manufactured H- and K-band components are shown in Fig. 7.

 figure: Fig. 7

Fig. 7 SEM micrograph of H-band center (left) and K-band center (right)

Download Full Size | PDF

5. Optical evaluation

The K-band AGPM was tested at LESIA, Observatoire de Meudon, Paris on the YAmina CAlibration Detector Infra-Red Euros (YACADIRE) test bench [7]. For the optical evaluation of the H-band AGPM and SGVC4s the newly developed coronagraphic test bench VODCA (Vortex Optical Demonstrator for Coronagraphic Applications) at the University of Liège was used. This test bench is specifically designed to evaluate vortex vector coronagraphs between 1 and 5 µm. So far it has been optimized for measuring coronagraph performance in the L-band and all AGPMs manufactured and evaluated in YACADIRE have been measured with the VODCA bench showing similar or even higher rejection ratios (to be published). In each case, we characterized the mask with different broad and narrow band filters, with a circular entrance pupil and a Lyot stop undersized to 80% of the original pupil size. For the H-band it was 1530-1730 nm (BBF), 1475-1565 nm (NBF1), 1624-1668 nm (NBF2) and 1656-1754 nm (NBF3), for K-band it was 1950-2350 nm (BBF) and for L band it was 3500-4000 nm (BBF), 3425-3525 nm (NBF1), 3710-3890 nm (NBF2) and 3960-4120 nm (NBF3). The coronagraphic performance is assessed by comparing images taken with a simulated star, that is a point-like source, located on-axis (i.e. centered on the singularity of the phase mask, attenuated) and off-axis (i.e. 1 mm away from mask center, non-attenuated). The rejection ratio is measured as the intensity ratio between the on-axis, and off-axis fluxes integrated in a disk of one resolution element (which has an area of radius equal to the full width half maximum of the point spread function; ~λ/D). Results are reported in Table 2, where L-band components numbering begins at 16, to remain consistent with the series previously reported in C. Delacroix et al. [17] and E. Vargas Catalan et al. [7].

Tables Icon

Table 2. Rejection ratio measured with each filter for the evaluated components

Both the H- and K-band components performed poorly and were therefore subjected to the tuning process in which they are either etched deeper or shallower depending on what the predicted RCWA calculations recommend. See Fig. 11 for the calculated performances map of K1, where the most probable grating parameters deduced from the cross section of the twin component are shown. SGVC4-S32-L18 on the other hand showed rejection ratio well above 500 making it a candidate for future installations.

In P. Piron et al. [21] a method to measure the birefringent properties of the space-variant subwavelength gratings was proposed and implemented. The method allows the acquisition of the phase shift induced between the TE and TM components of the incident beam (φ), the local fast axis orientation (β) and the transmission efficiency of the TE and TM components (ηTE & ηTM). Images of the transmission of the SGVC while inserted between parallel and crossed polarizers are shown in Fig. 8. The charge 4 components display twice as many dark and light regions as the charge 2 component, confirming that their fast axis rotates twice as much as in charge 2 components. The dark area in the center of the images is due to the detector pixel size which are mixing the intensity coming from different orientations of the gratings, and the halo is due to the Airy rings caused by the aperture (8 mm in diameter) in front of the diamond phase mask.

 figure: Fig. 8

Fig. 8 Images taken at 5.7 µm of the SGVC component placed between parallel (top row) and crossed polarizers (bottom row). Each picture is normalized by its maximum value of the two measurements, using parallel and crossed polarizers, for AGPM-L13r a) and d), for SGVC4-S08-L16 b) and e) and for SGVC4-S32-L17 c) and f).

Download Full Size | PDF

The local fast axis orientation for both variants of the charge 4 vortex were compared to previously measured charge 2 component at 5.7 µm [21], see Fig. 9. The charge 2 local fast axis orientation goes from 0 to 360 ° and from 0 to 720 ° for the charge 4 components. The 8-sector variant shows 8 clear steps where the local fast axis is constant. For the 32-sector variant the step is too small to be seen and thus the fast axis orientation ramp seems to be continuous.

 figure: Fig. 9

Fig. 9 Measured local fast axis orientation for a SGVC2 (left), SGVC4-S08 (middle) and SGVC4-S32 (right).

Download Full Size | PDF

6. Grating tuning processes

Processes for changing the depth of the grating based on the optical characterization have successfully been demonstrated on previous SGVC2 L-band components [7]. From the SGVC2-K1 optical testing, it was determined that it had been etched too deep, see Fig. 11. To improve the rejection ratio, the resist filling method was thus chosen to tune the grating.

When reducing the depth of the grating, the AGPM is first filled with photoresist, Shipley 1813, and then spun at 6000 rpm for 30 s which leaves a 1 μm film. The resist is then hard baked at 115 °C for 60 s and then plasma etched with the diamond recipe for 150 s. The resist on top will be etched away quickly and the top of the diamond grating will start to be etched, while the bottom remains protected by the resist. In the process, the top of the grating becomes faceted, see Fig. 10. However, this effect can be taken into account in the RCWA simulation in order to estimate the expected performance and correctly tune the grating depth.

 figure: Fig. 10

Fig. 10 Cross-section of AGPM-K1 twin after the tuning etch step. The top of the grating has become faceted and leftover resist can be seen in the bottom of the trench.

Download Full Size | PDF

The twin sample is cracked again to confirm that the correct depth of the grating has been reached and the APGM is then left in acetone overnight to remove the leftover resist in the trenches. The results obtained before and after the tuning process are shown in Fig. 11 for the K-band component. After re-etching of the K-band component, now referred to as AGPM-K1r, the depth of the grating is 400 nm shallower and the rejection ratio reaches around 400 over the broad K-band filter. This K-band AGPM is considered science grade and is now awaiting installation in a telescope.

 figure: Fig. 11

Fig. 11 Comparison of rejection ratio performance for K1 before and after tuning. Left, RCWA calculation of the rejection ratio, based on the expected depth of the grating (obtained from the cracked sample, solid line), averaged (dotted line) and compared to measured value at YACADIRE (dashed line). Right, RCWA performance map with probable grating parameters, marked with white crosses.

Download Full Size | PDF

Regarding the H-band component, optical characterization indicated that it was etched too shallow. The method for re-etching a deeper grating is to deposit a thin Al film by sputtering; the Al film will be thicker on top of the grating than down in the trenches due to shadowing effects. A short Al etch step is then used remove the Al the bottom of the grating while still leaving the top protected with Al. The diamond etching then proceed with the previous diamond etch parameters. Unfortunately it did not work for the H-band component, because the sputtering completely closed the openings in the grating. The short etch step never opened the trench and the top of the grating was damaged during the diamond etching.

7. Discussion

New subwavelength gratings in diamond to be used as phase masks in coronagraphy have been manufactured, pushing the technical limits towards gratings for shorter wavelengths and more complex, discrete grating patterns. A K-band component was successfully manufactured for the first time, finally reaching around 400 in rejection ratio after optimization by our re-etching process, making it possibly suitable for installation in an instrument like VLT/SPHERE. A H-band component was also realized, but the first attempt did not reach the expected performance. The current tuning process had also reached its limit and more work is needed for applications in H-band and gratings for even shorter wavelengths. However, SEM pictures show that the H-band structures are looking sharp and clean. With a refined tuning technique, we foresee that our fabrication process for diamond coronagraphs is clearly capable of producing a scientific grade H-band component.

Two variants of vector vortex topological charge 4 coronagraphs were also manufactured and optically evaluated. New discrete designs were proposed to realize the more complex pattern of the grating. Thanks to a polarization characterization, both SGVC4s were found to show a rotation of their local fast axis twice as fast as the SGVC2, thus confirming their topological charge of 4. Their higher topological order should help mitigate the increased sensitivity to low-order aberrations affecting 30-m class ground based telescopes such as the European ELT. The SGVC4-S32-L18 component demonstrated an average rejection ratio above 600 and is therefore considered to be on par with the most successful AGPM in the L-band to date.

Funding

European Research Council under the European Union’s Seventh Framework Programme (ERC Grant Agreement No. 337569); The Swedish Research Council (Vetenskapsrådet) (project grant 621-2014-5959); Carl Trygger Foundation (project grant CTS 15:259).

References and links

1. E. Serabyn, E. Huby, K. Matthews, D. Mawet, O. Absil, B. Femenia, P. Wizinowich, M. Karlsson, M. Bottom, R. Campbell, B. Carlomagno, D. Defrère, C. Delacroix, P. Forsberg, C. Gomez Gonzalez, S. Habraken, A. Jolivet, K. Liewer, S. Lilley, P. Piron, M. Reggiani, J. Surdej, H. Tran, E. Vargas Catalán, and O. Wertz, “The W. M. KECK observatory infrared vortex coronagraph and a first image of HIP 79124 B,” Astron. J. 153(1), 43 (2017). [CrossRef]  

2. M. Reggiani, V. Christiaens, O. Absil, D. Mawet, E. Huby, E. Choquet, C. A. Gomez Gonzalez, G. Ruane, B. Femenia, E. Serabyn, K. Matthews, M. Barraza, B. Carlomagno, D. Defrère, C. Delacroix, S. Habraken, A. Jolivet, M. Karlsson, G. Orban de Xivry, P. Piron, J. Surdej, E. Vargas Catalan, and O. Wertz, “Discovery of a point-like source and a third spiral arm in the transition disk around the Herbig Ae star MWC 758,” Astron. Astrophys. 611, A74 (2018). [CrossRef]  

3. D. Mawet, É. Choquet, O. Absil, E. Huby, M. Bottom, E. Serabyn, B. Femenia, J. Lebreton, K. Matthews, C. A. Gomez Gonzalez, O. Wertz, B. Carlomagno, V. Christiaens, D. Defrére, C. Delacroix, P. Forsberg, S. Habraken, A. Jolivet, M. Karlsson, J. Milli, C. Pinte, P. Piron, M. Reggiani, J. Surdej, and E. Vargas Catalan, “Characterization of the inner disk around HD 141569 A from KECK/NIRC2 L-band vortex coronagraphy,” Astron. J. 153(1), 44 (2017). [CrossRef]  

4. D. Mawet, P. Riaud, O. Absil, and J. Surdej, “Annular groove phase mask coronagraph,” Astrophys. J. 633(2), 1191–1200 (2005). [CrossRef]  

5. P. Forsberg and M. Karlsson, “High aspect ratio optical gratings in diamond,” Diamond Related Materials 34, 19–24 (2013). [CrossRef]  

6. E. Vargas Catalan, P. Forsberg, O. Absil, and M. Karlsson, “Controlling the profile of high aspect ratio gratings in diamond,” Diamond Related Materials 63, 60–68 (2016). [CrossRef]  

7. E. Vargas Catalán, P. Forsberg, E. Huby, A. Jolivet, P. Baudoz, B. Carlomagno, C. Delacroix, S. Habraken, D. Mawet, J. Surdej, O. Absil, and M. Karlsson, “Optimizing the subwavelength grating of L-band annular groove phase masks for high coronagraphic performance,” Astron. Astrophys. 595, A127 (2016). [CrossRef]  

8. D. Mawet, O. Absil, C. Delacroix, J. H. Girard, J. Milli, J. O’Neal, P. Baudoz, A. Boccaletti, P. Bourget, V. Christiaens, P. Forsberg, F. Gonte, S. Habraken, C. Hanot, M. Karlsson, M. Kasper, J.-L. Lizon, K. Muzic, R. Olivier, E. Peña, N. Slusarenko, L. E. Tacconi-Garman, and J. Surdej, “L’-band AGPM vector vortex coronagraph’s first light on VLT/NACO. Discovery of a late-type companion at two beam widths from an F0V star,” Astron. Astrophys. 552, L13 (2013). [CrossRef]  

9. C. Delacroix, O. Absil, D. Mawet, C. Hanot, M. Karlsson, P. Forsberg, E. Pantin, J. Surdej, and S. Habraken, “A diamond AGPM coronagraph for VISIR,” Proc. SPIE 8446, 84468K (2012). [CrossRef]  

10. D. Defrère, O. Absil, P. Hinz, J. Kuhn, D. Mawet, B. Mennesson, A. Skemer, K. Wallace, V. Bailey, E. Downey, C. Delacroix, O. Durney, P. Forsberg, C. Gomez, S. Habraken, M. Karlsson, M. Kenworthy, M. Montoya, L. Pueyo, M. Skrutskie, and J. Surdej, “L’-band AGPM vector vortex coronagraph’s first light on LBTI/LMIRCam,” Proc. SPIE 9148, 91483X (2014). [CrossRef]  

11. D. Mawet, L. Pueyo, D. Moody, J. Krist, and E. Serabyn, “The Vector Vortex Coronagraph: sensitivity to central obscuration, low-order aberrations, chromaticism, and polarization,” Proc. SPIE 7739, 773914 (2010). [CrossRef]  

12. A. Niv, G. Biener, V. Kleiner, and E. Hasman, “Manipulation of the Pancharatnam phase in vectorial vortices,” Opt. Express 14(10), 4208–4220 (2006). [CrossRef]   [PubMed]  

13. S. R. Nersisyan, N. V. Tabiryan, D. Mawet, and E. Serabyn, “Improving vector vortex waveplates for high-contrast coronagraphy,” Opt. Express 21(7), 8205–8213 (2013). [CrossRef]   [PubMed]  

14. L. Li, “Use of Fourier series in the analysis of discontinuous periodic structures,” J. Opt. Soc. Am. A 13(9), 1870–1876 (1996). [CrossRef]  

15. P. Lalanne and G. M. Morris, “Highly improved convergence of the coupled-wave method for TM polarization,” J. Opt. Soc. Am. A 13(4), 779–784 (1996). [CrossRef]  

16. D. Mawet, P. Riaud, J. Surdej, and J. Baudrand, “Subwavelength surface-relief gratings for stellar coronagraphy,” Appl. Opt. 44(34), 7313–7321 (2005). [CrossRef]   [PubMed]  

17. C. Delacroix, O. Absil, P. Forsberg, D. Mawet, V. Christiaens, M. Karlsson, A. Boccaletti, P. Baudoz, M. Kuittinen, I. Vartiainen, J. Surdej, and S. Habraken, “Laboratory demonstration of a mid-infrared AGPM vector vortex coronagraph,” Astron. Astrophys. 553, A98 (2013). [CrossRef]  

18. M. Karlsson and F. Nikolajeff, “Diamond micro-optics: microlenses and antireflection structured surfaces for the infrared spectral region,” Opt. Express 11(5), 502–507 (2003). [CrossRef]   [PubMed]  

19. A. Niv, G. Biener, V. Kleiner, and E. Hasman, “Spiral phase elements obtained by use of discrete space-variant subwavelength gratings,” Opt. Commun. 251(4-6), 306–314 (2005). [CrossRef]  

20. C. Delacroix, O. Absil, B. Carlomagno, P. Piron, P. Forsberg, M. Karlsson, D. Mawet, S. Habraken, and J. Surdej, “Development of a subwavelength grating vortex coronagraph of topological charge 4 (SGVC4),” Proc. SPIE 9147, 91478Y (2014). [CrossRef]  

21. P. Piron, E. Vargas Catalán, O. Absil, and M. Karlsson, “Birefringence measurements of diamond space-variant subwavelength gratings,” Appl. Opt. 57(17), 4909–4917 (2018). [CrossRef]  

Cited By

Optica participates in Crossref's Cited-By Linking service. Citing articles from Optica Publishing Group journals and other participating publishers are listed here.

Alert me when this article is cited.


Figures (11)

Fig. 1
Fig. 1 Upper left, photograph of an AGPM, upper right, SEM micrograph of an AGPM, bottom left, 3D cross section of an AGPM and bottom left, schematic of grating shown in red square, bottom right.
Fig. 2
Fig. 2 Grating designs for the 8 sector (left) and 32 sector masks (right) showing the first 10 periods.
Fig. 3
Fig. 3 SEM micrograph of the cross section of a L-band test grating after (left) 28 min of diamond etching and (right) 30 min of diamond etching. The etch mask is still present in both pictures.
Fig. 4
Fig. 4 SEM micrograph of the structured metal mask for the SGVC4 32-sector component, diamond can be seen as the substrate.
Fig. 5
Fig. 5 SGVC4 pattern for 8 and 32 sector etched in diamond with Al mask remaining and Al2O3 re-deposited on the sides.
Fig. 6
Fig. 6 SGVC4 in diamond with mask layers removed, and a thin layer of sputtered Al. Sharp edges are resolved and re-deposited material has not disturbed the structure. During the Al sputtering process the samples were contaminated with carbon nanotubes (from an unrelated experiment), these do not appear to have affected the optical performance.
Fig. 7
Fig. 7 SEM micrograph of H-band center (left) and K-band center (right)
Fig. 8
Fig. 8 Images taken at 5.7 µm of the SGVC component placed between parallel (top row) and crossed polarizers (bottom row). Each picture is normalized by its maximum value of the two measurements, using parallel and crossed polarizers, for AGPM-L13r a) and d), for SGVC4-S08-L16 b) and e) and for SGVC4-S32-L17 c) and f).
Fig. 9
Fig. 9 Measured local fast axis orientation for a SGVC2 (left), SGVC4-S08 (middle) and SGVC4-S32 (right).
Fig. 10
Fig. 10 Cross-section of AGPM-K1 twin after the tuning etch step. The top of the grating has become faceted and leftover resist can be seen in the bottom of the trench.
Fig. 11
Fig. 11 Comparison of rejection ratio performance for K1 before and after tuning. Left, RCWA calculation of the rejection ratio, based on the expected depth of the grating (obtained from the cracked sample, solid line), averaged (dotted line) and compared to measured value at YACADIRE (dashed line). Right, RCWA performance map with probable grating parameters, marked with white crosses.

Tables (2)

Tables Icon

Table 1 Parameters for AGPMs

Tables Icon

Table 2 Rejection ratio measured with each filter for the evaluated components

Select as filters


Select Topics Cancel
© Copyright 2024 | Optica Publishing Group. All rights reserved, including rights for text and data mining and training of artificial technologies or similar technologies.