Expand this Topic clickable element to expand a topic
Skip to content
Optica Publishing Group

Patterned ion-sliced lithium niobate for hybrid photonic integration on silicon

Open Access Open Access

Abstract

A method to realize patterned ion-sliced lithium niobate is presented for hybrid photonic integration on silicon. The process involves indirect wafer bonding and dry etching to produce patterns with controllable size, shape, and orientation. The patterns are released by sacrificial wet etching and transferred to silicon waveguides using a pick-and-place process. To demonstrate the technique, a hybrid silicon and lithium niobate racetrack resonator with co-planar electrodes is presented with a measured tunability of 5.2 pm/V. The method enhances flexibility in design and control of fabrication yield for the realization of hybrid silicon and lithium niobate integrated optic devices.

© 2016 Optical Society of America

1. Introduction

Photonic integration has been driven by the ever growing demand for smaller size, lower cost, lower power consumption, easier assembly, higher reliability, and greater data density in modern photonic devices and systems. Among the many platforms, silicon photonics is particularly promising for photonic integration due to the leveraging of existing electronic integrated circuit facilities for large-scale manufacturing [1]. Monolithic integration in silicon is challenging, however, due to the limited active properties of silicon, thereby creating a need for hybrid integration [2]. Materials integrated on silicon include semiconductors, polymers, ferroelectric oxides, metal oxides, graphene and so on [2–7]. A variety of hybrid integrated photonic devices have been demonstrated on the silicon platform including lasers, detectors, modulators, amplifiers, tunable filters, and polarization rotators [8–11].

The lack of a second order susceptibility in unstrained silicon is one of the drivers for hybrid integration [12]. Recently, a hybrid silicon and LiNbO3 material system has been introduced by bonding ion-sliced LiNbO3 to silicon waveguides, enabling compact sensors, filters, and modulators on silicon based on the second order susceptibility of LiNbO3 [13–18]. Hybrid Si/LiNbO3 modulators have the potential to advance the state of the art with respect to speed, linearity, chirp, insertion loss, and power consumption [16, 19]. More broadly, Si/LiNbO3 devices with advanced functionalities are envisioned that exploit the well-understood electro-optical, piezoelectric, and nonlinear optical properties of LiNbO3 [20, 21].

For hybrid integration, ion-sliced LiNbO3 platelets have been produced by ion implantation on LiNbO3 wafers followed by either wet etching or thermal blistering treatment [13–17, 22]. During wet etching, lateral etching of the damage layer introduced by ion implantation results in the exfoliation of LiNbO3 platelets from the bulk wafer. The etching rate depends on the crystal orientation and is as low as 100 nm min−1 for x-cut LiNbO3 [23]. Furthermore, the achievable platelet area decreases as the thickness decreases. For thermal treatment, the wafer surface blisters into random platelets due to thermal stress. While submicrometer thick LiNbO3 with edge lengths in the range of tens of microns to hundreds of microns can be obtained, the resulting random platelets exhibit uncontrolled size, shape, and unknown orientation of the crystal axes. The lack of control of the ion-sliced LiNbO3 limits the fabrication yield, device size, and design flexibility of Si/LiNbO3 devices. Particularly, electro-optic devices with electric fields applied along the z-axis for efficient modulation cannot be realized using a random platelet with unknown z-axis.

In this paper, a method to produce patterned thin films of ion-sliced LiNbO3 is presented for hybrid photonic integration on silicon. Thin films of LiNbO3 with deterministic shape and crystal orientation are obtained with edge lengths in the range of hundreds of microns to several millimeters. The patterned LiNbO3 thin films are transferred and bonded to silicon waveguides using a pick-and-place process [24]. To demonstrate the technique, a tunable hybrid silicon and LiNbO3 racetrack resonator is designed, fabricated and measured based on x-cut ion-sliced LiNbO3. Patterning allows the crystal axes of LiNbO3 to be identified for electrode orientation. The loaded quality factor of the racetrack is 15,500, and the tunability is 5.2 pm/V. The in-device r33 electro-optic coefficient of the ion-sliced LiNbO3 is calculated to be 25.7 pm/V.

The paper is organized as follows. Section two describes the fabrication process to obtain patterned and free standing ion-sliced LiNbO3. The integration of patterned x-cut ion-sliced LiNbO3 to silicon waveguides is presented in section three wherein a tunable hybrid silicon and LiNbO3 racetrack resonator is described with measurement results. A summary and concluding remarks are given in section four.

2. Patterned ion-sliced LiNbO3

The process to obtain patterned ion-sliced LiNbO3 is illustrated in Fig. 1 using x-cut LiNbO3 wafers as an example. A 3-inch x-cut LiNbO3 wafer of 500 µm thickness is diced into rectangular samples with edge lengths ranging from 0.5 cm to 3 cm. The x-cut LiNbO3 sample is implanted with He+ ions with an implantation energy of 380 keV and a fluence of 3.5 × 1016 ions cm−2, as shown in Fig. 1(a). During ion implantation, Ag paste is applied between the LiNbO3 sample and the sample holder to enhance thermal dissipation. The beam current density is maintained at 0.25 µA cm−2 and the total implantation time is seven hours. The temperature of the wafer holder increases gradually during the implantation but remains below 100 °C.

 figure: Fig. 1

Fig. 1 Ion-sliced LiNbO3 exfoliation: (a) He+ ion implantation on wafer 1, (b) PECVD SiO2 deposition on wafer 1 and BCB spin-coating on wafer 2, (c) wafer bonding and annealing, (d) exfoliation of ion-sliced LiNbO3.

Download Full Size | PDF

After ion implantation, the LiNbO3 sample is cleaned using RCA1 solution and clad with 1 µm of plasma enhanced chemical vapor deposition (PECVD) SiO2. The PECVD SiO2 layer will serve as a sacrificial layer to release the ion-sliced LiNbO3 later in the process. A second x-cut LiNbO3 handle wafer is cleaned with RCA1 and coated with adhesion promoter (Dow Chemical AP3000) and BCB (Dow Chemical CYCLOTENE 3022-35), as shown in Fig. 1(b). The implanted wafer is coated with adhesion promoter and bonded to the LiNbO3 handle wafer via the BCB film. The crystal z axes of the wafers, which are along the edges of the wafers, are aligned to each other under a microscope. The bonded wafer pair is heated gradually to 300 °C in an oven in an N2 ambient. First, the oven temperature is ramped to 200 °C linearly from room temperature over a period of one hour. The sample is then held at 200 °C for one hour. The temperature is then increased by 10 °C every hour until a final temperature of 250 °C is reached, where the sample is held at 250 °C for one hour [24]. During the ramping process, the BCB is fully cured, strengthening the bond. Concurrently, micro-bubbles are formed in the ion-damaged layer, leading to exfoliation of the implanted layer [24]. Finally the wafer pair is heated to 300 °C over a period of 2 hours and held for one hour before being gradually cooled down to room temperature over a period of 5 hours. As a result, 1.1 µm thick ion-sliced LiNbO3 is exfoliated from the implanted wafer and transferred to the handle wafer, as shown in Fig. 1(d).

Figure 2(a) shows the transferred LiNbO3 thin film on the handle wafer. The cross sectional area of the thin film is approximately 0.85 cm by 1.2 cm. A thin film stack of ion-sliced LiNbO3, PECVD SiO2, and BCB is formed on the surface of the handle wafer, as shown in Fig. 2(b). The root mean square (rms) surface roughness of the transferred thin film is 39 nm, measured with atomic force microscopy. In contrast, z-cut ion-sliced LiNbO3 bonded to a z-cut LiNbO3 wafer using the same fabrication process results in a roughness of 6 nm rms. The difference is attributed to crystalline anisotropy [20]. The surface roughness can be reduced to sub-nanometer level by chemical mechanical polishing [26].

 figure: Fig. 2

Fig. 2 (a) X-cut ion-sliced LiNbO3 bonded to a LiNbO3 handle substrate. The wafers are diced into rectangular samples for identification and alignment of the crystal z-axes. (b) Scanning electron micrograph (SEM) of the cross-section of the thin film stack. The dark line in the BCB layer is due to charging during the scanning electron microscopy.

Download Full Size | PDF

The areal shape of the ion-sliced LiNbO3 is defined by a Cr mask patterned by electron beam lithography (EBL) and plasma etching. A 320 nm thick Cr layer is first deposited on the surface. The sample is then deposited with 300 nm PECVD SiO2 and coated with 660 nm polymethyl methacrylate (PMMA) resist. The PMMA resist is patterned by EBL and serves as a mask to etch the PECVD SiO2 layer by inductively coupled plasma reactive ion etching (ICP-RIE) using CHF3 chemistry. Here, the patterns are in rectangular shapes with edge sizes in the range of hundreds of micrometers. The spacing between each rectangular pattern is 5 µm. After PMMA resist removal, the Cr mask is formed using the PECVD SiO2 mask by ICP-RIE using Cl2 and O2 chemistry, as shown in Fig. 3(a). The ion-sliced LiNbO3 is then etched through to the BCB using the Cr mask by ICP-RIE using Ar and CHF3 chemistry. The etching rate of LiNbO3 is 10 nm/min and the etching selectivity to the Cr mask is 5:1. Five micron wide trenches are formed between each ion-sliced LiNbO3 rectangular pattern.

 figure: Fig. 3

Fig. 3 Patterned ion-sliced LiNbO3 fabrication flow: (a) patterning Cr mask, (b) dry etching of LiNbO3, (c) wet etching of PECVD SiO2, (d) Transferring LiNbO3 to an unpolished silicon substrate.

Download Full Size | PDF

After dry etching, the Cr mask is removed with CR-7S chromium etchant, as shown in Fig. 3(b). The sample is then etched in 5% hydrofluoric (HF) acid to undercut the PECVD SiO2 layer underneath the ion-sliced LiNbO3 from the etched trenches between patterns, as shown in Fig. 3(c). The horizontal undercut etching rate is approximately 5 µm/min. Figure 4(a) shows the undercut after etching for 4 minutes. Before the ion-sliced LiNbO3 is fully released by etching, the LiNbO3 sample is flipped to an unpolished silicon substrate and the etching is resumed with both substrates in the etchant. After etching for 12 min, the ion-sliced LiNbO3 patterns break away from the SiO2 pillar. The samples are then rinsed in DI water and dried on a hotplate at 80 °C, completing the transfer of the LiNbO3 films to the unpolished silicon substrate, as illustrated in Fig. 3(d). Figure 4(b) shows patterned ion-sliced LiNbO3 on the silicon surface with edge lengths of 100 µm × 150 µm and 100 µm × 450 µm. The maximum pattern size achieved is 100 µm × 2 mm. The z-axis of the crystal is along the short edges of the patterns. One corner of the patterns is etched so that the crystal axes can be identified. Rapid thermal annealing (RTA) is then performed at 1000 °C for 30 s to repair the crystal lattice and restore the electro-optical properties of the ion-sliced LiNbO3 [26].

 figure: Fig. 4

Fig. 4 (a) HF acid etching of the patterned x-cut ion-sliced LiNbO3; (b) Released ion-sliced LiNbO3 transferred to the unpolished surface of a silicon substrate.

Download Full Size | PDF

3. Tunable Si/LiNbO3 racetrack resonator

A tunable Si/LiNbO3 racetrack resonator is designed and fabricated to demonstrate the integrated optics enabled by patterned ion-sliced LiNbO3 with controllable shape and crystal orientation. As illustrated in Fig. 5, x-cut ion-sliced LiNbO3 is bonded to a silicon racetrack resonator as the top cladding. The bonding material is BCB. Co-planar metal electrodes are then patterned on the top of the LiNbO3. The resonance wavelength is tuned by changing the refractive index of the LiNbO3 via the linear electro-optic effect. A DC electric field is required to be applied along the z-axis of the LiNbO3 to allow the device to access the r33 electro-optical coefficient along the straight sections of the racetrack resonator for the transverse-electric (TE) optical waveguide mode in which the main optical electric field is along the z-axis. In the design, the fraction of the optical mode power in the LiNbO3 is 25% [18].

 figure: Fig. 5

Fig. 5 Fabrication process of Si/LiNbO3 racetrack resonator: (a) Silicon strip patterned on silicon-on-insulator wafer using EBL and plasma etching; (b) spin-coat, partial curing, and etch back of BCB; (c) bonding of LiNbO3 and plasma etch of BCB; (d) deposition of PECVD SiO2 and removal of SiO2 above the resonator; (e) patterning of signal electrode; (f) patterning of ground electrode and cantilever couplers.

Download Full Size | PDF

The silicon waveguides are patterned from hydrogen silsesquioxane (HSQ) resist using EBL and ICP-RIE, as shown in Fig. 5(a) [27]. The cross-section of the silicon strip waveguide is 550 nm × 170 nm and the coupling gap between the bus waveguide and the racetrack is 180 nm. The sample is coated with 250 nm thick BCB and then baked in a N2 ambient at 150 °C for one hour to planarize the surface. Next, the sample is heated to and held at 190 °C for 15 minutes to partially cure the BCB. Partial curing helps prevent BCB reflow that may occur after bonding [28]. The BCB is then etched back to a 170 nm thickness using ICP-RIE with O2 and CF4 chemistry. LiNbO3 samples prepared on a silicon substrate are transferred to the silicon racetrack using a glass micro-vacuum tip on a probe station, as shown in Fig. 5(c). The micro-vacuum tip has a hose diameter of 25 µm and is connected to a vacuum source by plastic tubing. The z-axis of the LiNbO3 along the short edge of the pattern is aligned to the bus waveguide. The sample is baked at 250 °C to cure the BCB and complete the bonding.

After bonding, the sample is capped with 1 µm thick PECVD SiO2. An access hole is formed by removing the SiO2 film over the racetrack resonator using ICP-RIE, as shown in Fig. 5(d). The thickness of LiNbO3 in the access hole is reduced to around 900 nm during the plasma etching. Three-hundred nanometer thick Al electrodes are patterned in the access hole with a two-step lithography process to accurately control the narrow electrode gap. The signal electrode is first formed. Then, the ground electrode is aligned to the signal electrode in the second lithography step. A 1 µm wide electrode gap is formed with an alignment error smaller than 50 nm. Finally, cantilever couplers are patterned for fiber-to-chip optical coupling, as shown in Fig. 5(f) [29]. Figure 6 shows top-view optical micrographs of the fabricated device after bonding and electrode patterning.

 figure: Fig. 6

Fig. 6 Top-view optical micrograph of fabricated Si/LiNbO3 racetrack resonator. (a) Image of device after bonding of the LiNbO3; (b) Image of device after patterning of the electrodes.

Download Full Size | PDF

Figure 7(a) shows the measured TE-mode optical transmission spectrum of two consecutive resonances. The free spectral range (FSR) is 4.05 nm, the group index is 3.65, and the loaded quality factor is 15,500. Figure 7(b) shows the resonance near 1551 nm of the Si/LiNbO3 racetrack as a function of the applied DC voltage between the electrodes. The resonance wavelength blueshifts linearly with increasingly positive voltage, indicating the refractive index is decreased, consistent with the relative orientation of the applied electric field and the z-axis of LiNbO3 [20]. The resonance is tuned by 83.5 pm for a voltage range of 16 V, resulting in a tunability of 5.2 pm/V. The r33 electro-optic coefficient of the ion-sliced LiNbO3 is estimated to be 25.7 pm/V based on the measured tunability and the simulated optical mode profile, which is 83% of the bulk value [25]. The electro-optic coefficient can be improved by optimizing the annealing process of the LiNbO3 thin film [26]. The tunability can be further improved by engineering the waveguide dimensions to increase the optical mode overlap in LiNbO3 [15].

 figure: Fig. 7

Fig. 7 Measurements of Si/LiNbO3 racetrack resonator; (a) Optical transmission; (b) Wavelength detuning with voltage as parameter.

Download Full Size | PDF

4. Conclusion

A method to realize patterned ion-sliced LiNbO3 for hybrid photonic integration on silicon is presented. In contrast to random platelets obtained from wet etching and thermal blistering techniques, areal LiNbO3 patterns with deterministic size, shape, and crystal axis orientation are obtained. A tunable hybrid silicon and LiNbO3 racetrack resonator with co-planar electrodes is implemented to demonstrate the method. The tunability of the resonator is 5.2 pm/V. The ion sliced LiNbO3 patterns are transferred to silicon waveguides using a pick-and-place process. A platform is envisioned to realize versatile communications, computing, and sensing based on high density electronic and photonic integration on the chip scale. Furthermore, the method can be applied to other applications including flexible photonics, thin film waveplates, and hybrid integration with silica planar lightwave circuits [30–35]. Applying the technique for thin films of other materials, such as LiTaO3 and BaTiO3, creates opportunities for electro-optics, nonlinear optics, and acousto-optics in a variety of hybrid platforms for both optical and radio-frequency (RF) applications [36, 37].

Funding

Army Research Office (ARO) (W911NF-12-1-0488).

References and links

1. R. A. Soref, “The past, present and future of silicon photonics,” IEEE J. Sel. Top. Quantum Electron. 12(6), 1678–1687 (2006). [CrossRef]  

2. M. J. R. Heck, H.-W. Chen, A. W. Fang, B. R. Koch, D. Liang, H. Park, M. Sysak, and J. E. Bowers, “Hybrid Silicon Photonics for Optical Interconnects,” IEEE J. Sel. Top. Quantum Electron. 17(2), 333–346 (2011). [CrossRef]  

3. Y. H. Kuo, Y. K. Lee, Y. Ge, S. Ren, J. E. Roth, T. I. Kamins, D. A. Miller, and J. S. Harris, “Strong quantum-confined Stark effect in germanium quantum-well structures on silicon,” Nature 437(7063), 1334–1336 (2005). [CrossRef]   [PubMed]  

4. C. Koos, P. Vorreau, T. Vallaitis, P. Dumon, W. Bogaerts, R. Baets, B. Esembeson, I. Biaggio, T. Michinobu, F. Diederich, W. Freude, and J. Leuthold, “All-optical high-speed signal processing with silicon organic hybrid slot waveguides,” Nat. Photonics 3(4), 216–219 (2009). [CrossRef]  

5. S. Abel, T. Stöferle, C. Marchiori, C. Rossel, M. D. Rossell, R. Erni, D. Caimi, M. Sousa, A. Chelnokov, B. J. Offrein, and J. Fompeyrine, “A strong electro-optically active lead-free ferroelectric integrated on silicon,” Nat. Commun. 4, 1671 (2013). [CrossRef]   [PubMed]  

6. R. M. Briggs, I. M. Pryce, and H. A. Atwater, “Compact silicon photonic waveguide modulator based on the vanadium dioxide metal-insulator phase transition,” Opt. Express 18(11), 11192–11201 (2010). [CrossRef]   [PubMed]  

7. M. Liu, X. Yin, E. Ulin-Avila, B. Geng, T. Zentgraf, L. Ju, F. Wang, and X. Zhang, “A graphene-based broadband optical modulator,” Nature 474(7349), 64–67 (2011). [CrossRef]   [PubMed]  

8. A. W. Fang, H. Park, O. Cohen, R. Jones, M. J. Paniccia, and J. E. Bowers, “Electrically pumped hybrid AlGaInAs-silicon evanescent laser,” Opt. Express 14(20), 9203–9210 (2006). [CrossRef]   [PubMed]  

9. Y. H. Kuo, H. W. Chen, and J. E. Bowers, “High speed hybrid silicon evanescent electroabsorption modulator,” Opt. Express 16(13), 9936–9941 (2008). [CrossRef]   [PubMed]  

10. J. Michel, J. Liu, and L. C. Kimerling, “High-performance Ge-on-Si photodetectors,” Nat. Photonics 4(8), 527–534 (2010). [CrossRef]  

11. J. N. Caspers, M. Z. Alam, and M. Mojahedi, “Compact hybrid plasmonic polarization rotator,” Opt. Lett. 37(22), 4615–4617 (2012). [CrossRef]   [PubMed]  

12. P. Rabiei, J. Ma, S. Khan, J. Chiles, and S. Fathpour, “Heterogeneous lithium niobate photonics on silicon substrates,” Opt. Express 21(21), 25573–25581 (2013). [CrossRef]   [PubMed]  

13. Y. S. Lee, G.-D. Kim, W.-J. Kim, S.-S. Lee, W.-G. Lee, and W. H. Steier, “Hybrid Si-LiNbO3 microring electro-optically tunable resonators for active photonic devices,” Opt. Lett. 36(7), 1119–1121 (2011). [CrossRef]   [PubMed]  

14. L. Chen and R. M. Reano, “Compact electric field sensors based on indirect bonding of lithium niobate to silicon microrings,” Opt. Express 20(4), 4032–4038 (2012). [CrossRef]   [PubMed]  

15. L. Chen, M. G. Wood, and R. M. Reano, “12.5 pm/V hybrid silicon and lithium niobate optical microring resonator with integrated electrodes,” Opt. Express 21(22), 27003–27010 (2013). [CrossRef]   [PubMed]  

16. L. Chen, Q. Xu, M. G. Wood, and R. M. Reano, “Hybrid silicon and lithium niobate electro-optical ring modulator,” Optica 1(2), 112–118 (2014). [CrossRef]  

17. L. Chen, M. G. Wood, and R. M. Reano, “Compensating thermal drift of hybrid silicon and lithium niobate ring resonances,” Opt. Lett. 40(7), 1599–1602 (2015). [CrossRef]   [PubMed]  

18. L. Chen, J. Chen, J. Nagy, and R. M. Reano, “Highly linear ring modulator from hybrid silicon and lithium niobate,” Opt. Express 23(10), 13255–13264 (2015). [CrossRef]   [PubMed]  

19. E. L. Wooten, K. M. Kissa, A. Y. Yan, E. J. Murphy, D. A. Lafaw, P. F. Hallemeier, D. Maack, D. V. Attanasio, D. J. Fritz, G. J. McBrien, and D. E. Bossi, “A review of lithium niobate modulators for fiber-optic communications systems,” IEEE J. Sel. Top. Quantum Electron. 6(1), 69–82 (2000). [CrossRef]  

20. K. K. Wong, Properties of Lithium Niobate (INSPEC, 2002).

21. R. S. Weis and T. K. Gaylord, “Lithium niobate: summary of physical properties and crystal structure,” Appl. Phys., A Mater. Sci. Process. 37(4), 191–203 (1985). [CrossRef]  

22. M. Levy, R. M. Osgood Jr, R. Liu, L. E. Cross, G. S. Cargill, A. Kumar, and H. Bakhru, “Fabrication of single-crystal lithium niobate films by crystal ion slicing,” Appl. Phys. Lett. 73(16), 2293–2295 (1998). [CrossRef]  

23. F. Schrempel, T. Gischkat, H. Hartung, T. Höche, E. B. Kley, A. Tünnermann, and W. Wesch, “Ultrathin membranes in x-cut lithium niobate,” Opt. Lett. 34(9), 1426–1428 (2009). [CrossRef]   [PubMed]  

24. M. Koechlin, F. Sulser, Z. Sitar, G. Poberaj, and P. Günter, “Free-standing lithium niobate microring resonators for hybrid integrated optics,” IEEE Photonics Technol. Lett. 22(4), 251–253 (2010). [CrossRef]  

25. A. Guarino, G. Poberaj, D. Rezzonico, R. Degl’Innocenti, and P. Günter, “Electro-optically tunable microring resonators in lithium niobate,” Nat. Photonics 1(7), 407–410 (2007). [CrossRef]  

26. P. Rabiei and P. Gunter, “Optical and electro-optical properties of submicrometer lithium niobate slab waveguides prepared by crystal ion slicing and wafer bonding,” Appl. Phys. Lett. 85(20), 4603–4605 (2004). [CrossRef]  

27. M. Wood, L. Chen, J. R. Burr, and R. M. Reano, “Optimization of electron beam patterned hydrogen silsesquioxane mask edge roughness for low-loss silicon waveguides,” J. Nanophotonics 8(1), 083098 (2014). [CrossRef]  

28. F. Niklaus, R. Kumar, J. J. McMahon, J. Yu, J.-Q. Lu, T. S. Cale, and R. J. Gutmann, “Adhesive wafer bonding using partially cured benzocyclobutene for three-dimensional integration,” J. Electrochem. Soc. 153(4), G291–G295 (2006). [CrossRef]  

29. P. Sun and R. M. Reano, “Cantilever couplers for intra-chip coupling to silicon photonic integrated circuits,” Opt. Express 17(6), 4565–4574 (2009). [CrossRef]   [PubMed]  

30. S.-I. Park, Y. Xiong, R.-H. Kim, P. Elvikis, M. Meitl, D.-H. Kim, J. Wu, J. Yoon, C.-J. Yu, Z. Liu, Y. Huang, K.-C. Hwang, P. Ferreira, X. Li, K. Choquette, and J. A. Rogers, “Printed assemblies of inorganic light-emitting diodes for deformable and semitransparent displays,” Science 325(5943), 977–981 (2009). [CrossRef]   [PubMed]  

31. J. A. Rogers, M. G. Lagally, and R. G. Nuzzo, “Synthesis, assembly and applications of semiconductor nanomembranes,” Nature 477(7362), 45–53 (2011). [CrossRef]   [PubMed]  

32. J. Hu, L. Li, H. Lin, P. Zhang, W. Zhou, and Z. Ma, “Flexible integrated photonics: where materials mechanics and optics meet,” Opt. Mater. Express 3(9), 1313–1331 (2013). [CrossRef]  

33. R. M. Roth, T. Izuhara, R. L. Espinola, D. Djukic, R. M. Osgood Jr, S. Bakhru, and H. Bakhru, “Integrable wide-free-spectral-range Fabry-Perot optical filters using free-standing LiNbO3 thin films,” Opt. Lett. 30(9), 994–996 (2005). [CrossRef]   [PubMed]  

34. A. M. Radojevic, R. M. Osgood Jr, M. Levy, A. Kumar, and H. Bakhru, “Zeroth-order half-wave plates of LiNbO3 for integrated optics applications at 1.55 µm,” IEEE Photonics Technol. Lett. 12(12), 1653–1655 (2000). [CrossRef]  

35. H. Yamazaki, T. Yamada, K. Suzuki, T. Goh, A. Kaneko, A. Sano, E. Yamada, and Y. Miyamoto, “Integrated 100-Gb/s PDM-QPSK modulator using a hybrid assembly technique with silica-based PLCs and LiNbO3 phase modulators,” in Proc. Eur. Conf. Opt. Commun., Sep. 2008, Paper Mo.3.C.1.

36. A. Reinhardt, L. Benaissa, J. Davis, N. Lamard, V. Kovacova, N. Boudou, and E. Defaÿ, “Acoustic filters based on thin single crystal LiNbO3 films: status and prospects,” in 2014 IEEE International Ultrasonics Symposium Proceedings, 773–781, (2014). [CrossRef]  

37. T. Izuhara, I.-L. Gheorma, R. M. Osgood, A. N. Roy, H. Bakhru, Y. M. Tesfu, and M. E. Reeves, “Single-crystal barium titanate thin films by ion slicing,” Appl. Phys. Lett. 82(4), 616–618 (2003). [CrossRef]  

Cited By

Optica participates in Crossref's Cited-By Linking service. Citing articles from Optica Publishing Group journals and other participating publishers are listed here.

Alert me when this article is cited.


Figures (7)

Fig. 1
Fig. 1 Ion-sliced LiNbO3 exfoliation: (a) He+ ion implantation on wafer 1, (b) PECVD SiO2 deposition on wafer 1 and BCB spin-coating on wafer 2, (c) wafer bonding and annealing, (d) exfoliation of ion-sliced LiNbO3.
Fig. 2
Fig. 2 (a) X-cut ion-sliced LiNbO3 bonded to a LiNbO3 handle substrate. The wafers are diced into rectangular samples for identification and alignment of the crystal z-axes. (b) Scanning electron micrograph (SEM) of the cross-section of the thin film stack. The dark line in the BCB layer is due to charging during the scanning electron microscopy.
Fig. 3
Fig. 3 Patterned ion-sliced LiNbO3 fabrication flow: (a) patterning Cr mask, (b) dry etching of LiNbO3, (c) wet etching of PECVD SiO2, (d) Transferring LiNbO3 to an unpolished silicon substrate.
Fig. 4
Fig. 4 (a) HF acid etching of the patterned x-cut ion-sliced LiNbO3; (b) Released ion-sliced LiNbO3 transferred to the unpolished surface of a silicon substrate.
Fig. 5
Fig. 5 Fabrication process of Si/LiNbO3 racetrack resonator: (a) Silicon strip patterned on silicon-on-insulator wafer using EBL and plasma etching; (b) spin-coat, partial curing, and etch back of BCB; (c) bonding of LiNbO3 and plasma etch of BCB; (d) deposition of PECVD SiO2 and removal of SiO2 above the resonator; (e) patterning of signal electrode; (f) patterning of ground electrode and cantilever couplers.
Fig. 6
Fig. 6 Top-view optical micrograph of fabricated Si/LiNbO3 racetrack resonator. (a) Image of device after bonding of the LiNbO3; (b) Image of device after patterning of the electrodes.
Fig. 7
Fig. 7 Measurements of Si/LiNbO3 racetrack resonator; (a) Optical transmission; (b) Wavelength detuning with voltage as parameter.
Select as filters


Select Topics Cancel
© Copyright 2024 | Optica Publishing Group. All rights reserved, including rights for text and data mining and training of artificial technologies or similar technologies.