Expand this Topic clickable element to expand a topic
Skip to content
Optica Publishing Group

Fast heuristic-based source mask optimization for EUV lithography using dual edge evolution and partial sampling

Open Access Open Access

Abstract

Extreme ultraviolet (EUV) lithography is essential in the advanced technology nodes. Source mask optimization (SMO) for EUV lithography, especially the heuristic-based SMO, is one of the vital resolution enhancement techniques (RET). In this paper, a fast SMO method for EUV based on dual edge evolution and partial sampling strategies is proposed to improve the optimization efficiency and speed of the heuristic algorithm. In the source optimization (SO) stage, the position and intensity of the source points are optimized in turn. Using the sparsity of the optimized source, a partial sampling encoding method is applied to decrease the variables’ dimension in optimization. In the mask optimization (MO) stage, the main features (MF) and the sub-resolution assistant features (SRAF) are optimized in turn. A dual edge evolution strategy is used in the MF optimization and the partial sampling encoding method is used in SRAF optimization. Besides, the imaging qualities at different focal planes are improved by SRAF optimization. The optimization efficiency is greatly improved by the dimensionality reduction strategies. Simulations are carried out with various target patterns. Results show the superiority of the proposed method over the previous method, especially for large complex patterns.

© 2021 Optical Society of America under the terms of the OSA Open Access Publishing Agreement

1. Introduction

With the continuous development of the integrated circuit (IC) manufacturing process, extreme ultraviolet (EUV) lithography has become one of the indispensable technologies in the high volume manufacturing (HVM) of 5nm technology node and below [1]. Similar to deep ultraviolet (DUV) lithography, the computational lithography, represented by the source mask optimization (SMO) method, is an important guarantee for the application of EUV lithography in HVM [2]. However, due to the reflective mask and optics in EUV lithography, the resulting mask three-dimensional (3D) effects become an additional consideration for the EUV SMO [2].

The SMO, which is first proposed in 2001 by Rosenbluth et al. [3], aims to improve the imaging quality and enlarge the process window by the joint optimization of the source and mask. According to the algorithm used in optimization, the SMO methods can be divided into two types, the gradient-based SMO (GBSMO) and the heuristic-based SMO (HBSMO). The GBSMO analytically represents the loss function according to the forward lithography imaging model [46]. Then the gradients of the loss function for the source and mask are derived. With the gradient information, the source and mask are optimized iteratively by optimization algorithms including the gradient descent (GD) method and conjugate gradient (CG) method. Level-set based SMO proposed by Shen et al. [7,8] and the compressed sensing (CS) based SMO proposed by Ma et al. [9,10] can also be classified into the GBSMO. The HBSMO encodes the source and mask by various strategies. Then the heuristic algorithms, including genetic algorithm (GA) and particle swarm optimization (PSO), are utilized to search for the optimal source and mask in the solution space [1113]. The gradient information is not needed in HBSMO. At present, few previous researches have studied the SMO for EUV lithography. In 2008, Fühner et al. proposed an HBSMO method based on GA [14]. The source and mask were represented by parametric method and rigorous electromagnetic simulation was used to ensure the calculation accuracy. In 2019, Ma et al. proposed a GBSMO method for EUV lithography [15]. Based on the traditional method, an additional process was introduced to calibrate the shadowing effect and alleviate the impact of mask 3D effects on imaging quality. In 2021, Zhang et al. proposed an HBSMO method based on social learning PSO (SL-PSO) [16]. A fast thick mask model based on the structure decomposition method (SDM) was utilized to increase the speed of the imaging simulations. Besides, SL-PSO showed higher efficiency compared with other heuristic algorithms.

The optimization speed of GBSMO is high due to the help of the gradient information. However, the mask model and resist model for EUV lithography are usually complex to ensure the accuracy of imaging simulations. Thus, the expression of the gradient is much more complicated, even cannot be expressed analytically. In this case, the application of GBSMO will be limited. In contrast, the HBSMO needs no gradient calculation and is applicable for various complex mask models and resist models. The optimization efficiency of HBSMO is related to the scale of the problem, that is, the dimension of the optimization variable. When the number of the source points and the mask pixels increases, the dimension of the optimization variable after encoded will increase rapidly. A larger population and more iterations are needed to get better optimization results. However, this will greatly increase the runtime of imaging simulations, resulting in extremely slow optimization speed. Various dimensionality reduction methods have been proposed to balance the optimization capability and speed in HBSMO. In 2016, Wang et al. encoded the pixelated mask by the discrete cosine transform (DCT) [17]. By optimizing parts of the low-frequency components of the DCT coefficients, the optimization variable’s dimension was greatly reduced and the manufacturability of the optimized mask was improved. However, the mask pattern cannot be controlled in optimization. Besides, the truncate order of the DCT coefficients is a hyper-parameter that affects the optimization results. In 2020, Chen et al. transformed the source optimization (SO) into the optimization of the partial source points’ positions, using the sparsity of the optimized source [13]. The optimization dimension in SO was decreased. However, the position of each source point is described by two variables, which can be further ameliorated.

In this paper, a fast HBSMO method for EUV lithography using dual edge evolution and partial sampling strategies is proposed. The SO stage is divided into two processes including position and intensity optimization of the source points, and the mask optimization (MO) stage is divided into main features (MF) and sub-resolution assistant features (SRAF) optimizations. The optimization dimension is reduced by the division. An encoding strategy called partial sampling is utilized in the SO and SRAF optimizations to further reduce the optimization dimension. At last, the MF optimization is accelerated by a dual edge evolution strategy. The optimization efficiency is greatly improved by these strategies, compared with the previous HBSMO method for EUV lithography.

2. Methodology

2.1 EUV lithography imaging model

The schematic of the imaging optics and mask structure in EUV lithography are shown in Fig. 1. The lights from the source illuminate the mask uniformly via the illuminator. The diffraction lights are collected by the projector and form the aerial image on the wafer plane. Then the patterns are transferred from the mask to the wafer by the developing and etching process. The insets above and next to the lithography elements in Fig. 1 represent the initial and the optimized sources, mask patterns and print images. The imaging quality is improved by optimizing the source and the mask patterns.

 figure: Fig. 1.

Fig. 1. Schematic of (a) the EUV imaging optics, and (b) mask structure.

Download Full Size | PDF

The forward imaging model is the core of the computational lithography techniques. Similar to DUV lithography, EUV lithography can be modeled as a partially coherent imaging system. The intensity of the aerial image can be calculated by Abbe model [18]:

$$I({{{\hat{x}}_i},{{\hat{y}}_i}} )= \int\limits_{ - \infty }^{ + \infty } {\int {S({\hat{f},\hat{g}} )} } \left[ {{{\left|{\int\limits_{ - \infty }^{ + \infty } {\int {H({\hat{f} + \hat{f}^{\prime},\;\hat{g} + \hat{g}^{\prime}} )B({\hat{f}^{\prime}, \;\hat{g}^{\prime}} ){e^{ - j2\pi ({{{\hat{x}}_i}\hat{f}^{\prime} + {{\hat{y}}_i}\hat{g}^{\prime}} )}}d\hat{f}^{\prime}d\hat{g}^{\prime}} } } \right|}^2}} \right]d\hat{f}d\hat{g}, $$
where $({{{\hat{x}}_i},{{\hat{y}}_i}} )$ represents the normalized spatial coordinates on the image plane, $({\hat{f},\hat{g}} )$ and $({\hat{f}^{\prime},\hat{g}^{\prime}} )$ represents the normalized spatial frequency coordinates of the pupil plane and the diffraction lights, respectively. I is the intensity of the aerial image, S is the illumination source, H is the pupil function of the projector and can be regarded as a low pass filter, B is the diffraction spectrum of the mask.

The integration order in Eq. (1) is usually adjusted for increasing the calculation speed, and the integration is numerically implemented by summation operation. For SO, Eq. (1) can be transformed into:

$$I({{{\hat{x}}_i},{{\hat{y}}_i}} )= \sum\limits_{\hat{f}} {\sum\limits_{\hat{g}} {ICC({\hat{f},\hat{g};{{\hat{x}}_i},{{\hat{y}}_i}} )} } S({\hat{f},\hat{g}} ), $$
where ICC represents the illumination cross coefficient. ICC stores the aerial image intensity of each source point and is pre-calculated before SO. For MO, Eq. (1) can be rewritten as:
$$I({{{\hat{x}}_i},{{\hat{y}}_i}} )= {\sum\limits_k^K {{\mu _k}|{{{\cal F}^{ - 1}}\{{{\Phi _k}({\hat{f}^{\prime},\hat{g}^{\prime}} )B({\hat{f}^{\prime},\hat{g}^{\prime}} )} \}} |} ^2}, $$
where ${\mu _k}$ and ${\Phi _k}$ represent the k-th singular value and corresponding kernel function of the transmission cross coefficient (TCC) after singular value decomposition (SVD), respectively. K is the truncate order of SVD, ${{\cal F}^{ - 1}}\{{\cdot} \}$ represents the inverse Fourier transform.

The calculation of the mask diffraction spectrum B via a fast and accurate mask model is essential for the imaging model. The thin mask model based on Kirchhoff approximation is commonly used in the DUV lithography. However, for the EUV mask as Fig. 1(b) shows, the traditional Kirchhoff thin mask model is no longer sufficient due to the oblique incidence configuration and significant mask 3D effects. On the other hand, the rigorous models, such as the finite difference time domain (FDTD) model and rigorous coupled-wave analysis (RCWA) model, are not applicable in the SMO flow due to the large time and memory consumption.

In the previous work of our group [16,19], a fast thick mask model based on SDM are proposed and utilized in the SMO. The model can fast and accurately calculate the diffraction spectrum of the pixelated EUV mask. By describing the light propagation, the mask diffraction spectrum can be calculated by:

$$B = ({{\phi_a} \odot {B_a} \odot {\phi_b} \odot {R_m} \odot {\phi_b}} )\otimes {B_a} \odot {\phi _b} \odot {\phi _d}, $$
where ${\odot}$ represents the element-wise matrix multiplication and ${\otimes}$ represents the matrix convolution operation. ${B_a}$ is the diffraction spectrum at the middle plane of the absorber, ${R_m}$ is the multilayer reflection which is calculated by the equivalent layer multilayer model (ELMM) [20]. ${\phi _a}$ and ${\phi _b}$ are the phase factor of the light propagation through the absorber, ${\phi _d}$ represents the mask defocus factor which can eliminate the pattern shift effect at the wafer plane. ${B_a}$ is calculated by the edge point pulses model (EPPM):
$${B_a} = {\cal F}\{{{t_a} + ({{t_b} - {t_a}} )\odot M + ({{\delta_e} - {t_a}} )\odot \Lambda (M )} \}, $$
where ${t_a}$, ${t_b}$ and ${\delta _e}$ are three complex-valued parameters that can be calibrated by RCWA method. M represents the mask pattern, $\Lambda ({\cdot} )$ represents the edge detection function which can set the edge pixels to 1 and other pixels to 0. ${\cal F}\{{\cdot} \}$ represents the Fourier transform.

Comparisons of the fast thick mask model and the Kirchhoff thin mask model in the SMO are discussed in our previous work [16]. Compared with the thin mask model, the thick mask model can more accurately describe the mask three-dimensional effects. The calculation speed of the thick mask model is slower than that of the thin mask model due to the several element-wise matrix multiplications and the convolution operation. The choice of the thick mask model based on the SDM is a trade-off between the computational speed and the calculation accuracy.

With the aerial image, the print image can be obtained via the resist model. Currently, the resist model in the SMO research is usually approximated with the sigmoid model. The print image is given by:

$${I_r} = \frac{1}{{1 + \exp [{ - {a_r} \ast ({I - {t_r}} )} ]}}, $$
where ${t_r}$ and ${a_r}$ represent the threshold and steepness of the resist, respectively. If necessary, the sigmoid model can be replaced with a more accurate and physical resist model.

2.2 Optimization strategies

Dimensionality reduction strategies are proposed from several aspects in this paper to improve the optimization efficiency and speed.

In the SO stage, the source S is represented by a ${N_S} \times {N_S}$ matrix. The matrix indices correspond to the frequency coordinates of the source points, and the matrix elements represent the source points’ intensity in the range of $[{0,1} ]$. The inner and outer partially coherent factors are represented by ${\sigma _{in}}$ and ${\sigma _{out}}$, respectively. The ${N_{S{\_}sym}}$ elements of S located in the first quadrant are selected for symmetric encoding to force the source’s symmetry. An index vector ${P_S}$ and an intensity vector ${J_S}$, whose lengths are both ${N_{S{\_}sym}}$, are obtained after encoding.

In previous methods, the optimization variable in the SO stage is ${J_S}$, and the optimization dimension is ${N_{S{\_}sym}}$. When the source sampling points ${N_s}$ increase, the optimization dimension will increase rapidly, resulting in worse optimization performance. To solve this problem, the SO stage is divided into two processes including position and intensity optimization of the source points. Besides, partial sampling is utilized to further reduce the optimization dimension.

As shown in Fig. 2(a), the orange-colored long vectors obtained by symmetric encoding is ${J_S}$, whose elements are all 1 in the initial state. The source after optimization has the property of sparsity, which means most of the source points’ intensities are 0. Thus, there is no need to optimize all the source points. As shown in Fig. 2(a), the blue-colored short position vector ${P_{S{\_}partial}}$ is set to realize the partial sampling operation. The length of ${P_{S{\_}partial}}$ is

$${N_{S{\_}sym{\_}partial}} = {r_{S{\_}partial}} \ast {N_{S{\_}sym}}, $$
where $0 < {r_{S{\_}partial}} < 1$ represents the partial sampling factor of the source. Each element of ${P_{S{\_}partial}}$, which is in the range of $[{0,1} ]$, represents the position of a source point in ${J_S}$. For example, when the element of ${P_{S{\_}partial}}$ is 0, the first element of ${J_S}$ will be 1 which stands for a bright source point. When the element of ${P_{S{\_}partial}}$ is 1, it means the last element of ${J_S}$ is 1. The element position of ${J_S}$ can be calculated by $\lfloor{{P_{S{\_}partial}} \ast ({{N_{S{\_}sym}} - 1} )} \rfloor + 1$, where $\lfloor{} \rfloor $ represents the round down operation. The ${J_S}$ is updated by setting these elements obtained by ${P_{S{\_}partial}}$ to 1 and other elements to 0. Then the updated source ${\tilde{S}_{pos}}$ is obtained with ${J_S}$ by symmetric decoding. By the partial sampling strategies, the optimization dimension is reduced from ${N_{S{\_}sym}}$ to ${N_{S{\_}sym{\_}partial}}$. In some cases, multiple elements of ${P_{S{\_}partial}}$ may correspond to the same element of ${J_S}$, which will result in that the number of bright source points after decoding is lower than ${N_{S{\_}sym{\_}partial}}$.

 figure: Fig. 2.

Fig. 2. Schematic of SO strategies. (a) Position optimization and (b) intensity optimization of the source points.

Download Full Size | PDF

The most important spatial frequencies of the source points are selected by the position optimization. After that, the intensities of the selected source points are optimized to further improve the imaging quality. As shown in Fig. 2(b), the ${\tilde{S}_{pos}}$ obtained by position optimization is symmetrically encoded into an index vector ${P_{S{\_}inten}}$ and an intensity vector ${J_{S{\_}inten}}$ (the orange-colored short vectors in Fig. 2(b)). The length of the vector is ${N_{S{\_}sym{\_}inten}}$ which satisfies ${N_{S{\_}sym{\_}inten}} \le {N_{S{\_}sym{\_}partial}}$. The ${J_{S{\_}inten}}$, whose elements represent the intensities of source points, is taken as the optimization variable. The updated source matrix $\tilde{S}$ can be obtained by symmetric decoding with updated ${J_{S{\_}inten}}$and ${P_{S{\_}inten}}$. Then a blur function is employed to roughly account for the finite resolution of the illumination optics [21]. The final source is calculated by

$$S = \tilde{S} \otimes {G_k}, $$
where ${G_k}$ represents a Gaussian convolution kernel matrix whose mean value is 0, and the variance value is ${\sigma _k}$.

The optimization dimension of the two processes are ${N_{S{\_}sym{\_}partial}}$ and ${N_{S{\_}sym{\_}inten}}$, respectively. The dimension depends on the partial sampling factor of the source ${r_{S{\_}partial}}$. Compared with previous work, the dimension is reduced. Thus, fewer iterations are needed to achieve good optimization performance, and the optimization efficiency is improved.

In the MO stage, the mask M is represented by a ${N_M} \times {N_M}$ matrix, each element of the matrix stands for a mask pixel. According to the symmetry of the mask pattern, ${N_{M{\_}sym}}$ pixels are selected for symmetric encoding. An index vector ${P_M}$ and a pixel vector ${T_M}$, whose lengths are both ${N_{M{\_}sym}}$, are obtained after encoding. The updated mask matrix $\tilde{M}$ is obtained by symmetric decoding using ${P_M}$ and the updated ${T_M}$. The hard threshold function is then employed to create the final binary mask:

$$M = \Gamma ({\tilde{M} - 0.5} ), $$
where $\Gamma ({\cdot} )$ represents the hard threshold function. $\Gamma (x )= 1$ if $x \ge 0$ and $\Gamma (x )= 0$ if $x < 0$.

In previous research, the ${T_M}$ is optimization variable and the optimization dimension is ${N_{M{\_}sym}}$. On the one hand, the real mask patterns are usually asymmetry and the number of encoded pixels cannot be reduced by symmetric encoding. On the other hand, the optimization dimension will increase rapidly with the increase of ${N_M}$. When ${N_M}$ is 151 for an asymmetry mask, the optimization dimension reaches 22801. In this case, the efficiency of the heuristic algorithm is extremely low. To solve this problem, the MO stage is divided into MF and SRAF optimization, which aim to improve the imaging quality at the focal plane and defocus plane, respectively. For the main features of the mask pattern, most of the inner pixels remain unchanged after optimization. Thus, the process of MF optimization can be transformed to the evolution of the main features’ edges.

A dual edge evolution strategy is proposed to optimize the MF. The initial mask pattern, the contour of the target pattern and the print image are shown in Fig. 3(a). The pattern pixels are represented by 1, and the background pixels are represented by 0. Due to the optical proximity effect, the print image is different from the target pattern. Compared with the contour of the target pattern, the upper and bottom of the print image’s contour shrink inward, while the left and right of the contour extend outward. The task of MF optimization is ameliorating the shrinkage and extension effect to make the print image’s contour consistent with the target pattern’s contour.

 figure: Fig. 3.

Fig. 3. Dual edge evolution strategy. (a) Initial state, (b) edge optimization process, (c) state after edge optimization, (d) virtual outer edge optimization process, (e) final state.

Download Full Size | PDF

The first process is edge optimization. As shown in Fig. 3(b), the edge pixels of the current pattern are collected. The pixels are then encoded to a vector ${T_{M{\_}edge}}$ to be optimized. As identified in Fig. 3(b), the upper and bottom edge pixels need to remain as 1. This is because that the local contour of the print image will further shrink inward if these pixels change from 1 to 0. In contrast, the left and right edge pixels need to change from 1 to 0, which can alleviate the extension effect, as shown in Fig. 3(c).

The second process is virtual outer edge optimization. As we can see in Fig. 3(d), the background pixels surrounding the current pattern edges, which are called the virtual outer edges, are collected and encoded as ${T_{M{\_}outer{\_}edge}}$ to be optimized. The virtual outer edges are adjacent to the pattern edges in the 8-adjacency definition. The elements of ${T_{M{\_}outer{\_}edge}}$ at the initial state are all 0. As identified in Fig. 3(d), the left and right edge pixels need to remain as 0. This is because that the local contour of the print image will extend outward again if these pixels change from 0 to 1. In contrast, the upper and bottom edge pixels need to change from 0 to 1, which can alleviate the shrinkage effect, as shown in Fig. 3(e).

It is worth mentioning that, the two processes of the dual edge evolution are needed to be repeated multiple epochs in the MF optimization. Besides, the above descriptions about the change of pixels’ state are in the ideal situation, which mainly aims to illustrate the principle. In practice, the pixels of edges or virtual outer edges are optimized by the heuristic algorithm. By dual edge evolution, the optimization variable is transformed from ${T_M}$ to ${T_{M{\_}edge}}$ or ${T_{M{\_}outer{\_}edge}}$, with the optimization dimension being greatly decreased. Thus, the mask optimization can be accomplished in fewer iterations. During the optimization, the isolated pixels of the edges are detected and eliminated after the mask decoding to increase the mask manufacturability.

The imaging quality at the focal plane can be improved by MF optimization. At the defocus plane, the imaging quality should be improved by adding SRAF. In the background area without the main features, the SRAF has the property of sparsity. Thus, the SRAF optimization can be treated similarly to the position optimization at the SO stage. By partial sampling, several pixels are selected and their positions are optimized to form the final SRAF.

As shown in Fig. 4, the background pixels, of which the distance from main features’ edges is larger than ${d_{SRAF}}$, are symmetrically encoded according to the mask symmetry. An index vector ${P_{bg}}$ and a pixel vector ${T_{bg}}$, whose lengths are both ${N_{bg{\_}sym}}$, are obtained after encoding. The orange-colored long vectors in Fig. 4 are ${T_{bg}}$ whose elements are all 0 at the initial state. Then the blue-colored short position vector ${P_{bg{\_}partial}}$ is set to realize the partial sampling operation. The length of ${P_{bg{\_}partial}}$ is

$${N_{bg{\_}sym{\_}partial}} = {r_{SRAF}} \ast {N_{bg{\_}sym}}, $$
where $0 < {r_{SRAF}} < 1$ represents the partial sampling factor of SRAF. Each element of ${P_{bg{\_}partial}}$ corresponds to a pixel’s position in ${T_{bg}}$, which can be calculated by $\lfloor{{P_{bg{\_}partial}} \ast ({{N_{bg{\_}sym}} - 1} )} \rfloor + 1$.${P_{bg{\_}partial}}$ is optimized by heuristic algorithm, and ${T_{bg}}$ is updated using ${P_{bg{\_}partial}}$. The updated SRAF is obtained by symmetric decoding and hard threshold function, and the mask is obtained by combining the MF and SRAF. Compared with ${T_{bg}}$, the dimension of ${P_{bg{\_}partial}}$ is greatly reduced, which can improve the optimization efficiency.

 figure: Fig. 4.

Fig. 4. Schematic of SRAF optimization.

Download Full Size | PDF

2.3 SMO flow

Based on the optimization strategies proposed in section 2.2, the whole SMO flow is exhibited in Fig. 5. The thick mask parameters need to be pre-calculated and the best mask defocus needs to be adjusted before the optimization [16]. The speed of the imaging simulation during the optimization can be improved by utilizing the pre-calculated parameters. Besides, the pattern shift effect at the focal plane can be eliminated by setting a mask defocus $\varDelta {z^ \ast }$ which is obtained by the linear searching method. The SO and MO stages are repeated for ${N_{SMO}}$ times. The optimization flows of SO and MO stages are shown in the dashed box.

 figure: Fig. 5.

Fig. 5. Schematic of the SMO flow.

Download Full Size | PDF

Different fitness functions are applied in different optimization stages. In mask defocus optimization, the fitness function is defined as:

$${F_{MD}} = \frac{1}{{{L_C}}}\left|{\oint\limits_C {({{p_r} - {p_t}} )dl} } \right|, $$
where ${p_r},{p_t}$ are the positions of the metrology points located at the edge of the print image and the target pattern, C is the contour of the target pattern with the total length ${L_C}$, $dl$ is the segment length between the two metrology points. The pattern shift at the focal plane will be eliminated when ${F_{MD}}$ approaches 0. In the SO stage and the MF optimization, the fitness function is the pattern error (PE) between the print image and the target pattern:
$$F = \textrm{PE} = ||{{I_r} - {M_T}} ||_2^2, $$
where ${M_T}$ is the target pattern, ${||\cdot ||_2}$ represents the L2-norm. In the SRAF optimization process, the fitness function is defined as the average of PE values at multiple defocus planes:
$${F_{SRAF}} = \frac{1}{{{N_{md}}}}\sum\limits_{{i_{md}} = 1}^{{N_{md}}} {||{I_r^{{i_{md}}} - {M_T}} ||_2^2}, $$
where ${N_{md}}$ is the number of selected defocus planes, $I_r^{{i_{md}}}$ is the print image at the ${i_{md}}\textrm{ - th}$ defocus plane calculated by Eq. (6). Besides, other practicable rules of inserting the SRAF can be integrated into the fitness function.

The proposed SMO method is suitable for any heuristic algorithm. In our previous work, SL-PSO has been demonstrated to be a compact and efficient heuristic algorithm for EUV SMO [16]. Thus, SL-PSO is taken as the optimizer in this paper. The algorithm parameters are different in different optimization stages, and the detail settings are listed in section 3.1.

The optimization results are evaluated by PE and edge placement error (EPE) between the print image and the target pattern. Besides, total variation (TV) is used to evaluate the manufacturability of the optimized mask. The EPE is calculated by:

$$\textrm{EPE} = \frac{1}{{{L_C}}}\oint\limits_C {|{{p_r} - {p_t}} |dl}. $$
The TV is calculated by:
$$\textrm{TV} = \sum\limits_{x,y} {|{\nabla {I_r}({x,y} )} |}, $$
where x and y represent the mask coordinates, $\nabla {I_r}({x,y} )$ represents the gradient of the print image.

During the whole SMO flow, the most time consuming parts are the imaging calculations with ICC in the SO stage, the mask spectrum calculation as well as the imaging calculations with TCC in the MO stage, and the SL-PSO optimization algorithm. In the SO stage, the speed of the imaging calculations depend on the number of the source points, and the computational complexity is $O({{N_S}^2} )$. In the MO stage, the convolution and FFT operations determine the speed of the imaging calculations. The convolution operation can be transformed into the element-wise matrix multiplication with FFT operation to accelerate the calculation. Thus, the computational complexity of the MO stage equals to the the computational complexity of the FFT operation, which is $O({{N_M}^2\log {N_M}} )$. The computational complexity of the SL-PSO algorithm is $O({{M_{pop}}^2 + {M_{pop}}{D_{particle}}} )$[22], where ${M_{pop}}$ represents the size of the particle swarm and ${D_{particle}}$ represents the dimension of the particle. Besides, the memory complexities of the three parts are $O({{N_S}^2} )$, $O({{N_M}^2K} )$ and $O({{M_{pop}}{D_{particle}}} )$, where K represents the truncate order of TCC in Eq. (3). Obviously, with the same ${N_S}$, ${N_M}$ and K, the computational and memory complexity of the imaging calculations in the SO and MO stage are also the same. By reducing the ${D_{particle}}$ in SL-PSO with the various strategies introduced in this paper, the computational and memory complexity of the SMO flow can be reduced.

3. Simulations and results

3.1 Simulation settings

In this paper, annular source is exploited as the initial source, whose inner and outer partial coherent factors are 0.6 and 0.9. The wavelength of the source is 13.5 nm. The polarization mode is TE. The incident angle of the source is $\theta = {6^ \circ }$ and the azimuth angle is $\varphi = {0^ \circ }$, which means the mask pattern is located at the center of the exposure ring slit. A 3×3 matrix representing the Gaussian convolution kernel is used to calculate the source blur and ${\sigma _k}$ is 0.7 pixel. The material of the mask absorber is TaN, whose complex index is 0.938-0.0377j and thickness is 70nm. The multilayer is composed of 40 bilayers of Mo/Si whose thicknesses are 2.78/4.17nm. The complex indexes of Mo and Si are 0.9238-0.0064j and 0.999-0.0018j, respectively. The material of the mask substrate is SiO2, whose complex index is 0.978-0.0108j. The numerical aperture and reduction of the projection optics are 0.33 and 4×. The pitches and sizes of mask patterns are on the wafer scale, and the masks are all dark-field masks. The simulation hardware is a desktop with an 8-core 3.6GHz CPU and 16GB memory.

In the SO stage, the size of the particle swarm is $M_{pop}^S = 30$, the maximum iteration numbers of the position optimization and intensity optimization are $N_{iter}^{S{\_}pos} = 100$ and $N_{iter}^{S{\_}inten} = 150$, respectively. The partial sampling factor is ${r_{S{\_}partial}} = 0.45$. In the MF optimization, the maximum iteration numbers of the edge and virtual outer edge optimization are all $N_{iter}^m = 100$. The particle swarm’s size $M_{pop}^m$ and the epoch number of the dual edge evolution ${N_{epoch}}$ depend on the specific mask patterns. In the SRAF optimization, the particle swarm’s size is $M_{pop}^{SRAF} = 50$, maximum iteration number is $N_{iter}^{SRAF} = 150$, and the partial sampling factor is ${r_{SRAF}} = 0.03$. The minimum distances ${d_{SRAF}}$ between SRAF pixels and the main features’ edges depend on specific mask patterns. The iteration is terminated when the iteration number reaches the maximum value, or the fitness value remains unchanged for maximum value ${N_{unchange}} = 50$. Besides, the ${N_{SMO}}$ is set to 1 in this paper because the optimization results are already relatively good after one loop. The method is also effective with other values of ${N_{SMO}}$.

The previous method [16] is taken as a comparison to verify the superiority of the proposed method. It is worth mentioning that the SRAF optimization is only considered in section 3.4.

3.2 Optimization of simple pattern

A 3-Bars mask pattern is exploited in this section to verify the effectiveness of the proposed method. The initial source and mask are shown in Fig. 6(a) and Fig. 6(b), respectively. ${N_S}$ is 51 for the source. The mask periods in the x and y directions are both 202nm. ${N_M}$ is 101 and the pixel size is 2nm. The pattern is composed of three identical bars, whose width is 18nm in x direction and length is 162nm in the y direction. The distance between the centers of two adjacent bars is 36nm. ${t_r}$ is 0.2 and ${a_r}$ is 200 for the resist. In MF optimization, $M_{pop}^m = 35$ and ${N_{epoch}} = 3$. In the SO stage of the previous method, the particle swarm’s size $M_{pop}^S$ is 30 and the maximum iteration number $N_{iter}^S$ is 250. In the MO stage of the previous method, the particle swarm’s size $M_{pop}^m$ is 50 and the maximum iteration number $N_{iter}^m$ is 600.

 figure: Fig. 6.

Fig. 6. Initial state. (a) Source, (b) mask, (c) comparisons of print image and target pattern.

Download Full Size | PDF

Figure 6(c) demonstrates that the initial print image is much different from the target pattern. Besides, the position of the print image shifts due to the oblique illumination. The mask defocus obtained by optimization is $\varDelta {z^ \ast } = 228\textrm{nm}$. The pattern shift effect can be eliminated by setting the mask defocus. Then the SMO is carried out by the previous and the proposed method, respectively. The optimization results are exhibited below.

As shown in Fig. 7(c) and Fig. 7(f), both methods successfully realize the optimization. The shapes of the optimized source are similar, which indicates that the partial sampling strategy used in the proposed method is effective. Since SRAF is not considered, the optimized mask obtained by the proposed mask is still composed of three bars with only the edges changed. In contrast, the mask obtained by the previous method contains mass of isolated pixels. The runtime of the previous method is 111.26s, in which SO takes 23.89s and MO takes 83.35s. Other calculations take 4.02s. After applying the dimensionality reduction strategies in the proposed method, the runtime is 72.58s which is reduced by 34.77%. The SO and MO take 8.33s and 62.92s, respectively. The evaluations of the imaging quality before and after SMO are listed in Table 1.

 figure: Fig. 7.

Fig. 7. Optimization results. (a)∼(c) are results of the proposed method, (d)∼(f) are results of the previous method. (a)(d) are optimized sources, (b)(e) are optimized masks, (c)(f) are comparisons of print image and target pattern.

Download Full Size | PDF

Tables Icon

Table 1. Performance of the two methods for the 3-Bars pattern.

For the simple 3-Bars pattern, the number of source points and mask pixels is not very large. Besides, the optimization dimension can be reduced by the XY-symmetric encoding. Thus, the performance of the previous method in this case is pretty good. However, due to the mass of isolated pixels, TV of the optimized mask using the previous method is very large, reaching 2000. In contrast, TV of the optimized mask using the proposed method is only 624, which benefits from the dual edge evolution strategy. The manufacturability of the optimized mask is greatly increased compared with the previous method.

Simulations are then carried out to verify the advantages of strategies in the SO stage. With the target pattern remaining unchanged, ${N_S}$ is set to 61, 71, 81 and 91, respectively. Then only the source is optimized using the two methods. The particle swarm’s sizes are both 30 for the two methods in this case. The optimized sources are exhibited in Fig. 8.

 figure: Fig. 8.

Fig. 8. The optimized sources. (a)∼(d) are results of the proposed method, (e)∼(h) are results of the previous method. NM is 61 in (a)(e), 71 in (b)(f), 81 in (c)(g), 91 in (d)(g).

Download Full Size | PDF

For different ${N_S}$, the optimized source shapes are similar using the proposed method. The six sub-areas can be successfully selected all the time. The differences between the sources result from the different ${N_S}$ and randomness property of the heuristic algorithms. In contrast, with the increase of ${N_S}$, the optimized source shape obtained by the previous method gets more similar to the initial source, which indicates the decrease of the optimization efficiency. Comparisons of the two methods are listed in Table 2.

Tables Icon

Table 2. Comparisons of the two methods for different NS.

When ${N_S}$ increases, the runtime of the SO stage increases because that the imaging simulation takes more time. However, the speed of the proposed method is always much higher than that of the previous method. Besides, the PE value obtained by the previous method increases gradually, which verifies the decrease of the optimization efficiency. In contrast, the PE value obtained by the proposed method keeps steady with the increase of ${N_S}$. The convergence curves of the two methods are plotted in Fig. 9. It is obvious that the optimization efficiency of the proposed method is higher.

 figure: Fig. 9.

Fig. 9. Convergence curves of SO using two methods.

Download Full Size | PDF

During the SMO flow, the MO stage takes the most of the runtime. In order to test the scaling of the proposed method for larger problems, ${N_M}$ is set to 81, 101, 121, 141, 161, 181 and 201, respectively. With the dimension reduction strategies, the maximum optimization dimension values in the MO stage are 118, 142, 169, 200, 227, 248 and 271. The runtimes under different ${N_M}$ values are plotted in Fig. 10(a). The relationship betweent the runtime and the ${N_M}$ is nearly linear. The optimization dimension values of the proposed and the previous method under different ${N_M}$ values are plotted in Fig. 10(b). The optimization dimension has a quadratic relationship with the ${N_M}$ in the previous method, but a linear relationship in the proposed method which benefits from the dimension reduction strategies in the MO stage.

 figure: Fig. 10.

Fig. 10. (a) The runtimes under different ${N_M}$ values. (b) he optimization dimension values of the proposed and the previous method under different ${N_M}$ values.

Download Full Size | PDF

3.3 Optimization of complex pattern

Simulations with larger and more complex patterns are carried out in this section to further validate the superiority of the proposed method. Two patterns are selected. The initial source remains unchanged as Fig. 6(a) shows, and ${N_S} = 51$. The two complex patterns are shown in Fig. 11(a) and Fig. 11(c), respectively. For the complex pattern1, the mask periods in the x and y directions are both 358nm. ${N_M}$ is 179 and the pixel size is 2nm. In MF optimization, $M_{pop}^m = 30$ and ${N_{epoch}} = 4$. For the complex pattern2, the mask periods in x and y directions are both 396nm. ${N_M}$ is 198 and the pixel size is 2nm. In MF optimization, $M_{pop}^m = 60$ and ${N_{epoch}} = 6$. ${t_r}$ is 0.4 and ${a_r}$ is 200 for the resist. In SO stage of the previous method, $M_{pop}^S$ is 30 and $N_{iter}^S$ is 250. In the MO stage of the previous method, $M_{pop}^m$ is 80, $N_{iter}^m$ is 300 for pattern1 and 800 for pattern2. To avoid premature termination, the SMO flow only terminates when the iteration number reaches the maximum value in the previous method.

 figure: Fig. 11.

Fig. 11. Initial state. (a) Complex pattern1, (b) comparisons of print image and target pattern1, (c) complex pattern2, (d) comparisons of print image and target pattern2.

Download Full Size | PDF

The $\varDelta {z^ \ast }$ values obtained by mask defocus optimization are 188nm and 190nm for the two patterns, respectively. Then the SMO is carried out by the two methods, and the optimization results are exhibited below in Fig. 12 and Fig. 13. Obviously, for these two complex patterns with larger ${N_M}$, the imaging quality is still improved by the proposed method. Conversely, the masks are not optimized at all by the previous method.

 figure: Fig. 12.

Fig. 12. Optimization results of complex pattern1. (a)∼(c) are results of the proposed method, (d)∼(f) are results of the previous method. (a)(d) are optimized sources, (b)(e) are optimized masks, (c)(f) are comparisons of print image and target pattern.

Download Full Size | PDF

 figure: Fig. 13.

Fig. 13. Optimization results of complex pattern2. (a)∼(c) are results of the proposed method, (d)∼(f) are results of the previous method. (a)(d) are optimized sources, (b)(e) are optimized masks, (c)(f) are comparisons of print image and target pattern.

Download Full Size | PDF

For the complex pattern1, the SO stage takes 48.15s and the MO stage takes 217.63s in the previous method. In contrast, the SO stage takes 26.90s and the MO stage takes 179.91s in the proposed method, which are reduced by 44.13% and 17.33%, respectively. For the complex pattern2, the SO stage takes 64.85s and the MO stage takes 589.79s in the previous method. In contrast, the SO stage takes 33.62s and the MO stage takes 511.00s in the proposed method, which are reduced by 48.16% and 13.36%, respectively. It is obvious that the speed of the proposed method is higher than that of the previous method for complex patterns. Comparisons of the imaging quality are listed in Table 3, which reveals the higher optimization efficiency of the proposed method.

Tables Icon

Table 3. Performance of the two methods for the complex patterns.

During the optimizations above, the fast mask model based on the SDM is employed to fast and accurately calculate the print image. In order to verify the performance of the optimized sources and masks, the rigorous electromagnetic simulations are carried out using RCWA. The 3-Bars mask pattern and the two complex mask patterns are all validated. The comparisons of the print images obtained by RCWA and the target pattern’s contours are shown in Fig. 14. The PE values of the print images are 64.76, 205.19 and 524.02, which are larger than the results of the fast models within the acceptable range. The accuracy of the fast mask model can be further improved by up-sampling the mask pixels during the imaging simulations, which will sacrifice the calculation speed, as mentioned in our previous work [16].

 figure: Fig. 14.

Fig. 14. Rigorous simulation results of the three mask patterns. (a) 3-Bars pattern, (b) complex pattern1, and (c) complex pattern2.

Download Full Size | PDF

3.4 Optimization with SRAF

The simulations above are all carried out without considering the SRAF. In this section, simulations of two mask patterns are carried out to verify the effectiveness of SRAF optimization in the proposed method. The initial source remains unchanged as Fig. 6(a) shows, and ${N_S} = 51$. The first mask pattern is the same 3-Bars pattern exploited in section 3.2, and the second is a staggered-holes (SH) pattern. For the SH pattern as shown in Fig. 15(a), the mask periods in the x and y directions are both 242nm. ${N_M}$ is 121 and the pixel size is 2nm. The pattern is composed of five identical holes, whose widths are 18nm in both x and y directions. ${t_r}$ is 0.3 and ${a_r}$ is 200 for the resist. In MF optimization, $M_{pop}^m = 50$ and ${N_{epoch}} = 2$. In SRAF optimization, ${d_{SRAF}}$ is 6 pixels and three defocus planes are selected in the fitness function. For the 3-Bars pattern, the defocus values are −40nm, 0nm and 40nm. For the SH pattern, the defocus values are −70nm, 0nm and 70nm.

 figure: Fig. 15.

Fig. 15. The initial state for SH pattern. (a) Initial mask, (b) comparisons of print image and target pattern.

Download Full Size | PDF

The $\varDelta {z^ \ast }$ value obtained by mask defocus optimization is 201nm for the SH pattern. Then the SMO is carried out for the two patterns using the proposed method, and the optimization results are exhibited in Fig. 16. The imaging quality at the focal plane is barely affected by the SRAF, and the optimized source and mask have a little difference from the results in section 3.2. Besides, the runtimes are 131.26s and 119.21s for the two patterns, respectively.

 figure: Fig. 16.

Fig. 16. Optimization results with SRAF. (a)∼(c) are results of the 3-Bars pattern, (d)∼(f) are results of the SH patterns. (a)(d) are optimized sources, (b)(e) are optimized masks, (c)(f) are comparisons of print image and target pattern.

Download Full Size | PDF

The PE values at different defocus planes with and without SRAF (w_SRAF and wo_SRAF) are calculated and listed in Table 4. The imaging qualities at various defocus planes are universally improved by SRAF optimization. For the SH pattern, the PE increases after optimization when defocus reaches 70nm. It results from the focus shift effect in EUV imaging and does not represent the decrease of the imaging quality.

Tables Icon

Table 4. PE values at different defocus planes.

In order to more intuitively show the improvement of SRAF on the depth of focus (DOF), more defocus planes are selected and the PE values are calculated with and without SRAF. The results are exhibited in Fig. 17. As shown in Fig. 17(a), the DOF is obviously enlarged by SRAF optimization for the 3-Bars pattern. When the maximum tolerance of PE is 70, the corresponding DOF is 19.50nm for wo_SRAF and 63.88nm for w_SRAF, which is increased by 227.59%. In Fig. 17(b), the curve corresponding to wo_SRAF is asymmetry and shifts relative to the focal plane, which is caused by the focus shift effect in EUV imaging. After SRAF optimization, the effect is greatly alleviated. When the maximum tolerance of PE is 60, the corresponding DOF is 33.61nm for wo_SRAF and 78.35nm for w_SRAF, which is increased by 133.12%.

 figure: Fig. 17.

Fig. 17. PE values vary with the defocus. (a) Results of 3-Bars pattern, (b) results of SH pattern.

Download Full Size | PDF

4. Conclusion

A fast source mask optimization method for EUV lithography using dual edge evolution and partial sampling strategies has been proposed in this paper. A series of simulations verify the superiority of the proposed method. Simulations with a simple mask pattern show that the optimization efficiency of the proposed method is higher than that of the previous method. The performance remains good when the number of source points increases due to the partial sampling strategy used in the source optimization stage. Simulations with complex patterns further validate the higher optimization capability of the proposed method. The optimization dimension in the mask optimization stage is greatly reduced by the dual edge evolution strategy. At last, the effectiveness of the sub-resolution assistant features optimization is verified by two mask patterns. With ensuring the imaging quality at the focal plane, the depth of focus is enlarged by sub-resolution assistant features optimization. Further research will focus on how to improve the speed of dual edge evolution based on more prior knowledge.

Funding

National Major Science and Technology Projects of China (2017ZX02101004-002).

Disclosures

The authors declare no conflicts of interest.

Data Availability

Data underlying the results presented in this paper are not publicly available at this time but may be obtained from the authors upon reasonable request.

References

1. B. Geh, “EUVL: the natural evolution of optical microlithography,” Proc. SPIE 10957, 1095705 (2019). [CrossRef]  

2. X. Liu, R. Howell, S. Hsu, K. Yang, K. Gronlund, F. Driessen, H. Liu, S. Hansen, K. I. Schenau, T. Hollink, P. Adrichem, K. Troost, J. Zimmermann, O. Schumann, C. Hennerkes, and P. Gräupner, “EUV source-mask optimization for 7 nm node and beyond,” Proc. SPIE 9048, 90480Q (2014). [CrossRef]  

3. A. E. Rosenbluth, S. J. Bukofsky, M. S. Hibbs, K. Lai, A. F. Molless, R. N. Singh, and A. Wong, “Optimum mask and source patterns to print a given shape,” Proc. SPIE 4346, 486–502 (2001). [CrossRef]  

4. Y. Shen, F. Peng, X. Huang, and Z. Zhang, “Adaptive gradient-based source and mask co-optimization with process awareness,” Chin. Opt. Lett. 17(12), 121102 (2019). [CrossRef]  

5. S. Li, X. Wang, and Y. Bu, “Robust pixel-based source and mask optimization for inverse lithography,” Opt. Laser Technol. 45, 285–293 (2013). [CrossRef]  

6. N. Jia and E. Y. Lam, “Pixelated source mask optimization for process robustness in optical lithography,” Opt. Express 19(20), 19384–19398 (2011). [CrossRef]  

7. Y. Shen, “Lithographic source and mask optimization with a narrow-band level-set method,” Opt. Express 26(8), 10065–10078 (2018). [CrossRef]  

8. Y. Shen, F. Peng, and Z. Zhang, “Semi-implicit level set formulation for lithographic source and mask optimization,” Opt. Express 27(21), 29659–29668 (2019). [CrossRef]  

9. J. Lin, L. Dong, T. Fan, X. Ma, Y. Wei, and T. Ye, “Learning-based compressive sensing method for EUV lithographic source optimization,” Opt. Express 27(16), 22563–22581 (2019). [CrossRef]  

10. X. Ma, Z. Wang, J. Zhu, S. Zhang, G. R. Arce, and S. Zhao, “Nonlinear compressive inverse lithography aided by low-rank regularization,” Opt. Express 27(21), 29992–30008 (2019). [CrossRef]  

11. T. Fühner and A. Erdmann, “Improved mask and source representations for automatic optimization of lithographic process conditions using a genetic algorithm,” Proc. SPIE 5754, 41 (2005). [CrossRef]  

12. L. Wang, S. Li, X. Wang, and C. Yang, “Source mask projector optimization method of lithography tools based on particle swarm optimization algorithm,” Acta Opt. Sin. 37(10), 1022001 (2017). [CrossRef]  

13. G. Chen, S. Li, and X. Wang, “Source mask optimization using the covariance matrix adaptation evolution strategy,” Opt. Express 28(22), 33371–33389 (2020). [CrossRef]  

14. T. Fühner, A. Erdmann, and P. Evanschitzky, “Simulation-based EUV source and mask optimization,” Proc. SPIE 7122, 71221Y (2008). [CrossRef]  

15. X. Ma, Z. Wang, X. Chen, Y. Li, and G. R. Arce, “Gradient-Based Source Mask Optimization for Extreme Ultraviolet Lithography,” IEEE Trans. Comput. Imaging 5(1), 120–135 (2019). [CrossRef]  

16. Z. Zhang, S. Li, X. Wang, W. Cheng, and Y. Qi, “Source mask optimization for extreme-ultraviolet lithography based on thick mask model and social learning particle swarm optimization algorithm,” Opt. Express 29(4), 5448–5465 (2021). [CrossRef]  

17. L. Wang, S. Li, X. Wang, C. Yang, and F. Tang, “Pixel-based mask optimization via particle swarm optimization algorithm for inverse lithography,” Proc. SPIE 9780, 97801V (2016). [CrossRef]  

18. A. K. K. Wang, “Optical Imaging in Projection Microlithography” (SPIE Press, Bellingham, WA, 2005).

19. Y. Cao, X. Wang, A. Erdmann, P. Bu, and Y. Bu, “Analytical model for EUV mask diffraction field calculation,” Proc. SPIE 8171, 81710N (2011). [CrossRef]  

20. X. Liu, S. Li, and X. Wang, “Simulation Model Based on Equivalent Layer Method for Defective Mask Multilayer in Extremeultra violet Lithography,” Acta Opt. Sin. 35(6), 0622005 (2015). [CrossRef]  

21. A. R. Rosenbluth and N. Seong, “Global Optimization of the Illumination Distribution to Maximize Integrated Process Window,” Proc. SPIE 6154, 61540H (2006). [CrossRef]  

22. R. Cheng and Y. Jin, “A social learning particle swarm optimization algorithm for scalable optimization,” Inf. Sci. 291, 43–60 (2015). [CrossRef]  

Data Availability

Data underlying the results presented in this paper are not publicly available at this time but may be obtained from the authors upon reasonable request.

Cited By

Optica participates in Crossref's Cited-By Linking service. Citing articles from Optica Publishing Group journals and other participating publishers are listed here.

Alert me when this article is cited.


Figures (17)

Fig. 1.
Fig. 1. Schematic of (a) the EUV imaging optics, and (b) mask structure.
Fig. 2.
Fig. 2. Schematic of SO strategies. (a) Position optimization and (b) intensity optimization of the source points.
Fig. 3.
Fig. 3. Dual edge evolution strategy. (a) Initial state, (b) edge optimization process, (c) state after edge optimization, (d) virtual outer edge optimization process, (e) final state.
Fig. 4.
Fig. 4. Schematic of SRAF optimization.
Fig. 5.
Fig. 5. Schematic of the SMO flow.
Fig. 6.
Fig. 6. Initial state. (a) Source, (b) mask, (c) comparisons of print image and target pattern.
Fig. 7.
Fig. 7. Optimization results. (a)∼(c) are results of the proposed method, (d)∼(f) are results of the previous method. (a)(d) are optimized sources, (b)(e) are optimized masks, (c)(f) are comparisons of print image and target pattern.
Fig. 8.
Fig. 8. The optimized sources. (a)∼(d) are results of the proposed method, (e)∼(h) are results of the previous method. NM is 61 in (a)(e), 71 in (b)(f), 81 in (c)(g), 91 in (d)(g).
Fig. 9.
Fig. 9. Convergence curves of SO using two methods.
Fig. 10.
Fig. 10. (a) The runtimes under different ${N_M}$ values. (b) he optimization dimension values of the proposed and the previous method under different ${N_M}$ values.
Fig. 11.
Fig. 11. Initial state. (a) Complex pattern1, (b) comparisons of print image and target pattern1, (c) complex pattern2, (d) comparisons of print image and target pattern2.
Fig. 12.
Fig. 12. Optimization results of complex pattern1. (a)∼(c) are results of the proposed method, (d)∼(f) are results of the previous method. (a)(d) are optimized sources, (b)(e) are optimized masks, (c)(f) are comparisons of print image and target pattern.
Fig. 13.
Fig. 13. Optimization results of complex pattern2. (a)∼(c) are results of the proposed method, (d)∼(f) are results of the previous method. (a)(d) are optimized sources, (b)(e) are optimized masks, (c)(f) are comparisons of print image and target pattern.
Fig. 14.
Fig. 14. Rigorous simulation results of the three mask patterns. (a) 3-Bars pattern, (b) complex pattern1, and (c) complex pattern2.
Fig. 15.
Fig. 15. The initial state for SH pattern. (a) Initial mask, (b) comparisons of print image and target pattern.
Fig. 16.
Fig. 16. Optimization results with SRAF. (a)∼(c) are results of the 3-Bars pattern, (d)∼(f) are results of the SH patterns. (a)(d) are optimized sources, (b)(e) are optimized masks, (c)(f) are comparisons of print image and target pattern.
Fig. 17.
Fig. 17. PE values vary with the defocus. (a) Results of 3-Bars pattern, (b) results of SH pattern.

Tables (4)

Tables Icon

Table 1. Performance of the two methods for the 3-Bars pattern.

Tables Icon

Table 2. Comparisons of the two methods for different NS.

Tables Icon

Table 3. Performance of the two methods for the complex patterns.

Tables Icon

Table 4. PE values at different defocus planes.

Equations (15)

Equations on this page are rendered with MathJax. Learn more.

I ( x ^ i , y ^ i ) = + S ( f ^ , g ^ ) [ | + H ( f ^ + f ^ , g ^ + g ^ ) B ( f ^ , g ^ ) e j 2 π ( x ^ i f ^ + y ^ i g ^ ) d f ^ d g ^ | 2 ] d f ^ d g ^ ,
I ( x ^ i , y ^ i ) = f ^ g ^ I C C ( f ^ , g ^ ; x ^ i , y ^ i ) S ( f ^ , g ^ ) ,
I ( x ^ i , y ^ i ) = k K μ k | F 1 { Φ k ( f ^ , g ^ ) B ( f ^ , g ^ ) } | 2 ,
B = ( ϕ a B a ϕ b R m ϕ b ) B a ϕ b ϕ d ,
B a = F { t a + ( t b t a ) M + ( δ e t a ) Λ ( M ) } ,
I r = 1 1 + exp [ a r ( I t r ) ] ,
N S _ s y m _ p a r t i a l = r S _ p a r t i a l N S _ s y m ,
S = S ~ G k ,
M = Γ ( M ~ 0.5 ) ,
N b g _ s y m _ p a r t i a l = r S R A F N b g _ s y m ,
F M D = 1 L C | C ( p r p t ) d l | ,
F = PE = | | I r M T | | 2 2 ,
F S R A F = 1 N m d i m d = 1 N m d | | I r i m d M T | | 2 2 ,
EPE = 1 L C C | p r p t | d l .
TV = x , y | I r ( x , y ) | ,
Select as filters


Select Topics Cancel
© Copyright 2024 | Optica Publishing Group. All rights reserved, including rights for text and data mining and training of artificial technologies or similar technologies.