Expand this Topic clickable element to expand a topic
Skip to content
Optica Publishing Group

Efficient optical proximity correction based on virtual edge and mask pixelation with two-phase sampling

Open Access Open Access

Abstract

Optical proximity correction (OPC) is a widely used resolution enhancement technique (RET) in optical lithography to improve the image fidelity and process robustness. The efficiency of OPC is very important, especially for full-chip modification with complicated circuit layout in advanced technology nodes. An efficient OPC method based on virtual edge and mask pixelation with two-phase sampling is proposed in this paper. All kinds of imaging distortions are classified into two categories of imaging anomalies, the inward shrinkage anomaly and the outward extension anomaly. The imaging anomalies are detected around the corners and along the boundaries of the mask features with several anomaly detection templates. Virtual edges are adaptively generated according to the local imaging anomalies. The virtual edges are shifted to adjust the distribution of transparent regions on the mask and modify the local imaging anomalies. Several constraints and strategies are applied for efficient modifications and global control of the contour fidelity. In addition, the diffraction-limited property of the imaging system is fully utilized to separate the imaging evaluations at a coarse sampling level and the mask modifications at a fine sampling level, through the mask pixelation with two-phase sampling. It accelerates the imaging evaluations and guarantees the modification resolution as well. Simulations and comparisons demonstrate the superior modification efficiency of the proposed method.

© 2021 Optical Society of America under the terms of the OSA Open Access Publishing Agreement

1. Introduction

Lithography plays a vital role in the manufacturing of very-large-scale integrated circuits (VLSI) used in modern electronic devices. With the continuous shrinking of critical dimension (CD) in advanced technology nodes, the significant optical proximity effects (OPEs) result in imaging quality degradation on the wafer, due to the diffraction-limited property of the lithographic imaging systems [1]. The imaging distortions such as pinching, bridging, line end shortening and corner rounding, impact the circuits’ functionality and performance [2]. Various resolution enhancement techniques (RETs) have been developed to overcome the OPEs and improve the lithographic imaging quality such as the resolution, image fidelity and process robustness. As an important branch of RETs, optical proximity correction (OPC) compensates for and minimizes the imaging distortions by modifying the original mask patterns, through the adjustment of the distribution of transparent regions of the mask [3]. OPC is a systematic technique involving the modeling of lithographic imaging, the mask representation and configuration for correction, the optimization algorithms and strategies, design rule check and regularization methods for mask manufacturability improvement, and so on. Figure 1 illustrates the effect of OPC on the imaging quality of the lithography system. A more faithfully matched wafer image with the target pattern is obtained using the mask after OPC, revealing the image fidelity improvement via OPC.

 figure: Fig. 1.

Fig. 1. Illustration of the effect of OPC on the imaging quality of the lithography system.

Download Full Size | PDF

OPC methods are categorized into rule-based OPC (RBOPC) and model-based OPC (MBOPC). In RBOPC, established process-specific correction rules are applied to modify the features according to the neighborhood geometry [4,5]. RBOPC is easy to implement. Nevertheless, local modifications for adjacent imaging distortions are coupled with each other, and will not work as expected owing to the non-iterative correction process. In addition, the generation of explosively growing number of correction rules in advanced technology nodes is time-consuming and inflexible to changes in process conditions [6]. Therefore, RBOPC is not competent for technology nodes below 90nm [7].

MBOPC uses mathematical models to characterize the image formation process, and iteratively seeks the global optimum of a merit function to improve the imaging quality. MBOPC is divided into edge-based OPC (EBOPC) and pixel-based OPC (PBOPC).

EBOPC segments the polygons on the mask into edges and corners which can be moved from their original placements to reduce the imaging distortions. The goal of the movements is to make the target contour match with the contour of the wafer image at the preset observation points [8]. However, the polygon segmentation and the selections of observation points affect the convergence of OPC significantly [9]. The fixed segmentation requires edges to cooperate with adjacent ones to modify the local imaging distortions, and increases the iterations for correction [10]. This makes fine polygon segmentation for efficient OPC impossible and limits the degree of optimization freedom in EBOPC. Moreover, inappropriate selections of observation points can induce strong ringing effects, which leads to poor line edge roughness and influences the circuits’ performance [11,12]. EBOPC doesn’t generate sub-resolution assist features (SRAFs) [7].

PBOPC discretizes the mask into pixels and optimizes their transmittance. PBOPC is a high-dimensional nonlinear constrained optimization problem [13]. It has higher degrees of optimization freedom than EBOPC, and greater potential for achieving better imaging performance. While enjoying the excellent optimization capacity brought by the abundant freedom degrees, PBOPC is up against a huge computation load as well. PBOPC includes gradient-based methods such as gradient descent (GD) [14], steepest descent (SD) [15,16] and conjugate gradient (CG) [17,18], nonlinear compressive sensing based method [19], inverse lithography techniques (ILTs) [2023], and mask optimization methods using heuristic algorithms [24].

In gradient-based PBOPC, approximating the resist effect with a sigmoid function enables a differentiable merit function, which is convenient for calculating the derivate for the mask pixels and guiding the mask optimization along the descent direction of the gradient. However, the gradient-based PBOPC has to process large volumes of data during the optimization procedure, especially when the mask pattern is densely sampled for pixelation [19]. Moreover, it is difficult and time-consuming to calculate the gradients of a more complex merit function for a lithographic imaging model considering the complicated resist effect, limiting the applicability of the gradient-based PBOPC methods. Nonlinear compressive sensing based PBOPC improves the computational efficiency of traditional gradient-based PBOPC methods by downsampling the mask pattern and guaranteeing the lithographic imaging performance on the downsampled pattern. Unfortunately, this type of PBOPC is only suitable for sparse mask and lack of generality. ILT exploits rigorous mathematical models to perform SRAF placement and OPC step simultaneously according to the desired image, and is unconstrained by the topology of the original physical design [20]. Conventional ILT is essentially a gradient-based pixelated mask optimization problem [14,18], and confronted with the same difficulties as gradient-based PBOPC. Level-set-based ILT iteratively evolves the boundary of the mask features to minimize the difference between the output wafer image and the target pattern [2022]. Settings for the velocity of the boundary evolution and the evolution step size influence the convergence efficiency dramatically [22]. In addition, the evolution of the level set function also needs to calculate the derivate of the merit function with respect to the mask pixels. In general, ILTs are time-consuming, and the ILT masks are hard to be manufactured in practice owing to pixel-based behaviors. By contrast, heuristic algorithms such as covariance matrix adaptation evolution strategy (CMA-ES) and self-adaptive differential evolution (JADE) are free of gradient calculations [24], and search for a suboptimal solution as an alternative global optimal solution without need of prior knowledge for the specific problem. They are compatible with various lithographic imaging models and have proven to be effective in pixelated mask optimization. Recently, several machine learning approaches have been combined with the existing PBOPC methods to further improve the OPC efficiency [2529]. The correction performance of machine learning based PBOPC strongly depends on the core PBOPC method.

MBOPC is usually involved in the flow of full-chip SMO for advanced VLSI designs. Performing full-chip MBOPC may take many days with thousands of CPUs [30]. To shorten the time consumption for full-chip correction, efficient MBOPC methods are needed to work together with distributed-processing and multi-thread calculations [9].

In the existing PBOPC methods, the mask pixels are individually optimized to decrease the merit function value which evaluates the imaging quality across the entire wafer image. In the optimization stage, even a slight decline of the merit function value may result from a dramatic change of the mask layout, leading to reciprocating improvement and deterioration of the local imaging results across the wafer image. The waste of efforts during optimization increases the iterations for mask modification and imaging evaluation, and reduces the OPC efficiency as a result. In fact, the difference between the wafer image and the target pattern consists of all the local imaging distortions that vary dynamically within the correction process. In order to capture the varied local imaging distortions and adaptively correct them, we propose a PBOPC method based on virtual edge in this paper. Image fidelity is equivalent to contour fidelity. A good image fidelity is to get the contour of the printed wafer image as close to the target contour as possible. Mismatching between the two contours is regarded as imaging anomaly. Imaging anomaly detections are conducted at specific locations. For each detected local imaging anomaly, a group of mask pixels are adaptively selected to form a virtual edge. The virtual edge is shifted to adjust the distribution of the mask’s transparent regions until the concerned local imaging anomaly is compensated. Several constraints and strategies are considered in the modification for efficiency improvement and contour fidelity control. OPC is terminated when all the local imaging anomalies are within the acceptable levels or the iteration number reaches the upper limit. Compared with the fixed segmentation in EBOPC, the adaptively generated virtual edges are more flexible to modify the imaging anomalies, and reduce the iterations for correction.

Furthermore, in most existing PBOPC methods, the mask pattern is usually densely pixelated at a single sampling level. Although a cascadic multigrid inverse mask synthesis method [18] was proposed earlier, the mask optimization at each gridding space is essentially based on a single sampling level. And the scattered distribution of the optimized mask at the initial coarse gridding space directly leads to the poor manufacturability of the final synthesized mask. For the case of mask pixelation at a single sampling level, when using fast Fourier transform (FFT) to numerically calculate the mask spectrum, the number of the diffraction orders is proportional to the number of sampling points in corresponding directions. The spectrum of the densely sampled mask contains many high frequency components that will not participate in the image formation owing to the diffraction-limited property of the lithographic imaging system. That is to say, the accurate imaging result can be obtained with the low frequency components from the full mask spectrum, while substituting the full mask spectrum for the calculation of aerial image (AI) reduces the computation efficiency instead. Therefore, we propose a mask pixelation strategy with two-phase sampling to further improve the OPC efficiency in this paper. The mask pattern is pixelated at two sampling levels respectively. The coarsely sampled mask acts as the target pattern, and is used to detect the local imaging anomalies by comparing with the printed wafer image. The finely sampled mask is modified with the help of adaptively generated virtual edges. The low frequency components extracted from the full spectrum of the finely sampled mask are employed in efficient and accurate wafer image calculation. The output wafer image will in turn guide the imaging anomaly detection and mask modification.

Simulation results show that the virtual edge method together with the mask pixelation strategy with two-phase sampling improve the efficiency of PBOPC significantly.

2. Methodology

2.1 Forward lithographic imaging formulation

Lithographic imaging theory is the foundation for OPC. The upper part of Fig. 1 illustrates the schematic of the immersion lithography system. The light rays emitted from the source are diffracted when passing through the mask. Due to the limited numerical aperture (NA) of the projection lens, only low-frequency diffracted light rays can pass the projection lens. When reaching the film stack on the wafer, the light rays expose the resist and change the solubility of the resist. After post exposure bake (PEB) and development, the pattern is printed on the wafer. The goal of OPC as well as other kinds of RETs is to make the printed pattern on the wafer as close to the target pattern (TP) as possible.

As mentioned above, OPC reduces the imaging distortions by modifying the original mask pattern, without changing any other component in the lithographic imaging system. The Hopkins’ formulation separates the contributions and effects of the mask pattern and the optical system on the aerial image [31]. According to Hopkins’ formulation, the aerial image (AI) is calculated as

$$I(\hat{x},\hat{y}) = \mathop{\int\!\!\!\!\int\!\!\!\int\!\!\!\int}\limits_{ - \infty }^{ + \infty } {{TCC(\hat{f}^{\prime},\hat{g}^{\prime};\hat{f}^{\prime\prime},\hat{g}^{\prime\prime})O(\hat{f}^{\prime},\hat{g}^{\prime})} {O^\ast }(\hat{f}^{\prime\prime},\hat{g}^{\prime\prime}){e^{ - i2\pi [(\hat{f}^{\prime} - \hat{f}^{\prime\prime})\hat{x} + (\hat{g}^{\prime} - \hat{g}^{\prime\prime})\hat{y}]}}d\hat{f}^{\prime}d\hat{g}^{\prime}d\hat{f}^{\prime\prime}d\hat{g}^{\prime\prime}} .$$

In Eq. (1), $(\hat{x},\hat{y})$ are normalized spatial coordinates in the image plane, $(\hat{f}^{\prime},\hat{g}^{\prime})$ and $(\hat{f}^{\prime\prime},\hat{g}^{\prime\prime})$ are normalized spatial frequencies of the mask spectrum. All of them are dimensionless owing to the normalization operation. $TCC(\hat{f}^{\prime},\hat{g}^{\prime};\hat{f}^{\prime\prime},\hat{g}^{\prime\prime})$ is the transmission cross coefficient (TCC). $O(\hat{f}^{\prime},\hat{g}^{\prime})$ and ${O^\ast }(\hat{f}^{\prime\prime},\hat{g}^{\prime\prime})$ denote the mask spectrum and its complex conjugate, respectively. TCC has integrated all the information of the imaging system except that of the mask. It is written as

$$TCC(\hat{f}^{\prime},\hat{g}^{\prime};\hat{f}^{\prime\prime},\hat{g}^{\prime\prime}) = \int\!\!\!\int {J(\hat{f},\hat{g})H(\hat{f} + \hat{f}^{\prime},\hat{g} + \hat{g}^{\prime}){H^\ast }(\hat{f} + \hat{f}^{\prime\prime},\hat{g} + \hat{g}^{\prime\prime})dfdg} .$$
$(\hat{f},\hat{g})$ are normalized spatial frequencies in the pupil plane. $J(\hat{f},\hat{g})$ is the intensity of a point source at $(\hat{f},\hat{g})$. $H(\hat{f} + \hat{f}^{\prime},\hat{g} + \hat{g}^{\prime})$ and ${H^\ast }(\hat{f} + \hat{f}^{\prime\prime},\hat{g} + \hat{g}^{\prime\prime})$ denote the pupil function and its complex conjugate, respectively. The pupil function covers the effects of defocus, aberration and apodization, besides the low-pass property. To accelerate the aerial image calculation, TCC is decomposed into multiple kernels via the singular value decomposition (SVD) as
$$TCC(\hat{f}^{\prime},\hat{g}^{\prime};\hat{f}^{\prime\prime},\hat{g}^{\prime\prime}) \approx \sum\limits_{i = 1}^K {{S_i}{\Phi _i}(\hat{f}^{\prime},\hat{g}^{\prime})\Phi _i^\ast (\hat{f}^{\prime\prime},\hat{g}^{\prime\prime})} .$$
${\Phi _i}$ is the singular vector corresponding to the ith singular value Si, K denotes the number of kernels to approximate TCC and determines the accuracy of aerial image calculation. By reshaping the singular vectors ${\Phi _i}$ into the form of matrix $\Phi _i^M$ that shares the same size as the mask spectrum $O(\hat{f}^{\prime},\hat{g}^{\prime})$, the aerial image is then calculated with the kernels $\Phi _i^M$ as
$$I = \sum\limits_{i = 1}^K {{S_i}{{|{IFFT\{{\Phi _i^M(\hat{f}^{\prime},\hat{g}^{\prime})O(\hat{f}^{\prime},\hat{g}^{\prime})} \}} |}^2}} .$$
IFFT denotes the inverse fast Fourier transform.

In this paper, we use the constant threshold resist model to generate the printed image (PI) on the wafer through a direct comparison between the aerial image and the threshold,

$$PI = \Gamma (I - tr).$$

The parameter tr represents the threshold of the resist. $\Gamma ({\cdot} )$ is the hard threshold function, which says $\Gamma (x) = 1,\;if\;x > 0$, otherwise $\Gamma (x) = 0$. PI is a binary wafer image depending on whether the resist material is removed after development. Positive tone development is applied in this paper. Therefore, the elements with value of 1 in PI represent the sites where the resist is removed after development, while the elements with value of 0 in PI represent the sites where the resist remains after development.

A more accurate lithographic imaging model, which contains three-dimensional mask effects, three-dimensional resist effects, three-dimensional wafer effects, mask process corrections and other practical considerations, are also important for building a more realistic OPC flow. But these effects are not the focus of this manuscript, interested readers can refer to the published literature [32] that addresses these practically important aspects.

2.2 PBOPC based on virtual edge

For the convenience of description, the resist contour (RC) refers to the contour of the printed wafer image, and the target contour (TC) refers to the contour of the target pattern. All the imaging distortions appear as the mismatching between the resist contour and the target contour at certain positions. The goal of OPC is to modify all the local imaging distortions to achieve a good control of the global image fidelity. If there is no special statement, all the masks mentioned in this paper refer to dark-field masks. The features on the masks are represented by transparent regions while the background region is opaque.

2.2.1 Classification of the imaging anomalies

According to the offset direction of the resist contour relative to the target contour, all types of local imaging distortions can be classified into two categories of imaging anomalies, as illustrated in Fig. 2. The inward shrinkage anomaly occurs when the resist contour locates inside the target contour, and the outward extension anomaly occurs when the resist contour locates outside the target contour.

 figure: Fig. 2.

Fig. 2. Classification of imaging anomalies.

Download Full Size | PDF

In PBOPC, the mask is discretized into pixels whose values represent the sites’ transmittance. A mask pixel with value of 1 locates in the transparent region, while a mask pixel with value of 0 locates in the opaque region. All the transparent pixels constitute the features on the mask. The target pattern (TP) is the pixelated version of the initially designed mask. TP is an N×N matrix. N is a positive odd number and denotes the lateral dimension of the discretized mask. The printed image (PI) is calculated with the mask according to the lithographic imaging model, and it is also an N×N matrix. The differences between the corresponding pixels of TP and PI can be characterized with an N×N matrix Difference,

$$Difference = TP - PI.$$

Both TP and PI are binary matrices whose elements’ values are 0 or 1. Therefore, the values of the elements in matrix Difference can be 0 or ±1. The elements with value of 0 correspond to the perfectly imaged sites where PI shows a good matching with TP. The elements with value of 1 correspond to the sites where the transparent pixels of TP turn to be opaque in PI. And the elements with value of -1 correspond to the sites where the opaque pixels of TP turn to be transparent in PI. The local shrinkage anomaly is shown as the aggregation of the connecting sites with value of 1 in matrix Difference, while the local extension anomaly is shown as the aggregation of the connecting sites with value of -1 in matrix Difference.

All the localized shrinkage anomalies and extension anomalies lead to offset of the resist contour relative to the target contour. In essence, an excellent image fidelity is guaranteed as long as the imaging results in the neighbor of the boundary of the features are good enough. Hence, for the convenience of later detection and modification, the imaging anomalies can be further subdivided according to the locations of the anomalous region, including horizontal shrinkage anomaly, horizontal extension anomaly, vertical shrinkage anomaly, vertical extension anomaly, shrinkage anomaly around the convex corner, and extension anomaly around the concave corner. The horizontal shrinkage/extension anomaly means the shrinkage/extension anomaly occurring along a horizontal edge, respectively. The vertical shrinkage/extension anomaly means the shrinkage/extension anomaly occurring along a vertical edge, respectively. The diffraction-limited property of the imaging system results in imaging anomalies around the corners. We take inward shrinkage anomaly around the convex corners and the outward extension anomaly around the concave corners as default assumptions, which are intuitive for individual simple patterns such as the “hole” and the “L-type” pattern. Even if the merging of nearby features around the convex corner or the breaking of a slim feature around the concave corner occurs and differs from the default anomaly type, it can be alleviated by adjusting the adjacent edges first.

2.2.2 Detection of the imaging anomalies

Accurate and effective detection of the imaging anomalies is of great significance for the distortion modifications. Figure 3 shows the configuration of the detection sites for different types of imaging anomalies.

 figure: Fig. 3.

Fig. 3. Configuration of the detection sites for different types of imaging anomalies.

Download Full Size | PDF

For the convenience of description for the following operations on the pixelated pattern, the expressions for the directions in this paper refer to the convention for the matrix. The lower side points to the direction in which the row number of the matrix decreases, and the upper side points to the direction in which the row number increases. The left side points to the direction in which the column number decreases, and the right side points to the direction in which the column number increases. The corners are named according to the modification direction as to the default anomaly type around the corners. The concave corner pixels are appended with a suffix “C”, to distinguish with convex corner pixels. A square region with a side length of Lcorner is reserved for subsequent modifications for the imaging anomalies around the corners. The square region is usually larger than one mask pixel depending on Lcorner and the pixel size a. The critical state of imaging distortion occurrence is judged by the imaging results of the pixels on the boundaries. The imaging anomalies around the corners are detected right where they are, as labeled with the red squares for the convex corner pixels, and green squares for the concave corner pixels in Fig. 3. The extension anomalies are detected in the outer boundaries of the features while the shrinkage anomalies are detected in the inner boundaries, for both horizontal and vertical directions. The inner boundaries are the outermost pixels of the transparent region except those in the reserved square regions, and labeled with yellow rectangles in Fig. 3. The outer boundaries are the mirror of the corresponding inner boundaries with respect to the border of the transparent region, and labeled with cyan rectangles in Fig. 3.

Various anomaly detection sites can be extracted through the convolution operations on the pixelated target pattern with several templates. Taking the reserved square region around the corners into account, the number of pixels at a side of the square is

$${N_{corner}} = floor({{{{L_{corner}}} / a}} ).$$

The function floor(x) rounds a real number x towards negative infinity. The template for horizontal boundary extraction (HBET) is constructed as

$$HBET = \overbrace{{\left[ {\begin{array}{ccccc} 1&1& \cdots &1&1\\ 0&0& \cdots &0&0\\ 1&1& \cdots &1&1 \end{array}} \right]}}^{{2 \times {N_{corner}} + 1}}.$$

The convolution result of TP with HBET is written as

$$convH = HBET \otimes TP.$$

The symbol ${\otimes} $ represents the convolution operation. Then the logical matrix for the inner horizontal boundaries is expressed as

$$IHB = (convH ={=} 2 \times {N_{corner}} + 1)\& (TP ={=} 1).$$

The symbol & represents the elementwise AND operation for two logical matrices. The symbol “==” is made up of two equal signs “=”, and represents logically equivalent. The elements with value of 1 in IHB represent the inner horizontal boundaries of the mask features. The logical matrix for the outer horizontal boundaries is expressed as

$$OHB = (convH ={=} 2 \times {N_{corner}} + 1)\& (TP ={=} 0).$$

The elements with value of 1 in OHB represent the outer horizontal boundaries of the mask features.

The same flow is applied to extract the vertical boundaries. The template for vertical boundary extraction (VBET) is formulated as the transpose of HBET,

$$VBET = HBE{T^T}.$$

The convolution result of TP with VBET is written as

$$convV = VBET \otimes TP.$$

Then the logical matrix for the inner vertical boundaries is expressed as

$$IVB = (convV ={=} 2 \times {N_{corner}} + 1)\& (TP ={=} 1).$$

The elements with value of 1 in IVB represent the inner vertical boundaries of the mask features. And the logical matrix for the outer vertical boundaries is expressed as

$$OVB = (convV ={=} 2 \times {N_{corner}} + 1)\& (TP ={=} 0).$$

The elements with value of 1 in OVB represent the outer vertical boundaries of the mask features.

The corner pixels are extracted with the help of five assist matrices which represent the pixels in TP except the outermost, and their four-neighborhood pixels. The five assist matrices are (N-2)×(N-2) submatrices extracted from matrix TP, and they are written as

$$\begin{array}{l} center = TP(2:N - 1,\;2:N - 1),\\ left = TP(2:N - 1,\;1:N - 2),\\ right = TP(2:N - 1,\;3:N),\\ lower = TP(1:N - 2,\;2:N - 1),\\ upper = TP(3:N,\;2:N - 1). \end{array}$$

As shown in Fig. 3, the lower left convex corners (LL) are the transparent pixels which have transparent neighbor pixels both in the right and upper side, and have opaque neighbor pixels both in the left and lower side. The logical matrix for lower left convex corners is constructed according to the following steps,

$$\begin{array}{c} temp = (center ={=} 1)\& (right ={=} 1)\& (upper ={=} 1)\& (left ={=} 0)\& (lower ={=} 0),\\ LL = zeros(N,N),\;\;\;\;\;\;\;\;\;LL(2:N - 1,\;2:N - 1) = temp. \end{array}$$

Similarly, the logical matrices for LR, UL and UR are constructed as

$$\begin{array}{c} temp = (center ={=} 1)\& (left ={=} 1)\& (upper ={=} 1)\& (right ={=} 0)\& (lower ={=} 0),\\ LR = zeros(N,N),\;\;\;\;\;\;\;\;\;LR(2:N - 1,\;2:N - 1) = temp.\\ temp = (center ={=} 1)\& (right ={=} 1)\& (lower ={=} 1)\& (left ={=} 0)\& (upper ={=} 0),\\ UL = zeros(N,N),\;\;\;\;\;\;\;\;\;UL(2:N - 1,\;2:N - 1) = temp.\\ temp = (center ={=} 1)\& (left ={=} 1)\& (lower ={=} 1)\& (right ={=} 0)\& (upper ={=} 0),\\ UR = zeros(N,N),\;\;\;\;\;\;\;\;\;UR(2:N - 1,\;2:N - 1) = temp. \end{array}$$

The elements with value of 1 in matrix LL, LR, UL and UR represent the corresponding types of convex corners.

And the logical matrices for various types of concave corners are constructed as

$$\begin{array}{c} temp = (center ={=} 0)\& (right ={=} 0)\& (upper ={=} 0)\& (left ={=} 1)\& (lower ={=} 1),\\ LLC = zeros(N,N),\;\;\;\;\;\;\;\;\;LLC(2:N - 1,\;2:N - 1) = temp.\\ temp = (center ={=} 0)\& (left ={=} 0)\& (upper ={=} 0)\& (right ={=} 1)\& (lower ={=} 1),\\ LRC = zeros(N,N),\;\;\;\;\;\;\;\;\;LRC(2:N - 1,\;2:N - 1) = temp.\\ temp = (center ={=} 0)\& (right ={=} 0)\& (lower ={=} 0)\& (left ={=} 1)\& (upper ={=} 1),\\ ULC = zeros(N,N),\;\;\;\;\;\;\;\;\;ULC(2:N - 1,\;2:N - 1) = temp.\\ temp = (center ={=} 0)\& (left ={=} 0)\& (lower ={=} 0)\& (right ={=} 1)\& (upper ={=} 1),\\ URC = zeros(N,N),\;\;\;\;\;\;\;\;\;URC(2:N - 1,\;2:N - 1) = temp. \end{array}$$

The elements with value of 1 in matrix LLC, LRC, ULC and URC represent the corresponding types of concave corners.

The imaging anomalies around the corners are directly detected according to the value of corresponding elements in matrix Difference. An element with value of 0 represents a perfectly imaged or overcorrected corner. For a convex corner, the corresponding element with value of 1 indicates the shrinkage anomaly around that convex corner. For a concave corner, the corresponding element with value of -1 indicates the extension anomaly around that concave corner.

By contrast, the imaging anomaly detection along the horizontal and the vertical boundaries is for qualitative judgement on whether the imaging distortions occur along the boundaries, and determines the size of the anomalous region. More information about the extent of local imaging anomalies is needed for effective modifications, such as the span of the anomalous region along the boundary, and the depth of the anomaly perpendicular to the boundary. Figure 4 shows the description of the imaging anomalies along the boundaries. Along the boundaries, the direction of anomaly is from the target contour to the resist contour. The offset values between the two contours are varied at different sites along the boundary. For a local imaging anomaly, the span of the anomaly represents the range along the boundary covered by successive anomalous pixels, and is denoted by Nspan. And the depth of the anomaly represents the range perpendicular to the boundary covered by common successive anomalous pixels, and is denoted by Ndepth. The extent of a local imaging anomaly is characterized with Nspan and Ndepth. The span of the anomaly directly instructs the generation of the virtual edges. The depth of the anomaly acts as an initial guess of modification, and this saves much effort for trials at the early stage. All of this information is obtained by detecting the imaging anomalies along the boundaries, which will be introduced later in section 2.2.3.

 figure: Fig. 4.

Fig. 4. Description of the imaging anomalies along the boundaries.

Download Full Size | PDF

The detection templates for horizontal shrinkage anomalies (HSDT), horizontal extension anomalies (HEDT), vertical shrinkage anomalies (VSDT) and vertical extension anomalies (VEDT) are constructed as

$$\begin{array}{l} HSDT = zeros({N_{detect}},{N_{detect}}),\;\;\;HSDT({{{({1 + {N_{detect}}} )} / 2},:} )= 1.\\ HEDT = zeros({N_{detect}},{N_{detect}}),\;\;\;HEDT({{{({1 + {N_{detect}}} )} / 2},:} )={-} 1.\\ VSDT = zeros({N_{detect}},{N_{detect}}),\;\;\;VSDT({:,{{({1 + {N_{detect}}} )} / 2}} )= 1.\\ VEDT = zeros({N_{detect}},{N_{detect}}),\;\;\;VEDT({:,{{({1 + {N_{detect}}} )} / 2}} )={-} 1. \end{array}$$

The anomaly detection range depends on the parameter Ndetect, which is calculated according to the detecting distance Ldetect and the mask pixel size a, written as

$${N_{detect}} = 2 \times floor({{{{L_{detect}}} / a}} )- 1.$$
Ldetect limits the detection range of imaging anomalies. To perform effective and efficient local anomaly detection, Ldetect is empirically set as the CD of the mask.

Various imaging anomalies along the boundaries are detected by performing the convolution of the matrix Difference and the corresponding anomaly detection templates. To prevent the influence from the neighbor pixels with opposite values relative to the detected pixels on the convolution results, a sign shielding operation for the matrix Difference is carried out before the convolution operation. Specifically, all of the elements with value of -1 in matrix Difference are set to be 0 when detecting the shrinkage anomalies, and all elements with value of 1 in matrix Difference are set to be 0 when detecting the extension anomalies. The sign shielding operation guarantees the precise and complete anomaly detection. All the anomaly detections can be expressed in a general form as

$$Rst_i^{detect} = S{S_i}\{{Difference} \}\otimes AD{T_i}.$$

The subscript i corresponds to different types of imaging anomalies. SSi{} represents the sign shielding operation, and ADTi represents the corresponding anomaly detection template in Eq. (20). $Rst_i^{detect}$ represents the corresponding anomaly detection result. The severely anomalous regions are picked out by comparing $Rst_i^{detect}$ with a detection threshold Nanomaly,

$$Anomaly_i^{detect} = \Gamma ({Rst_i^{detect} - {N_{anomaly}}} ).$$

The nonzero elements in the logical matrix $Anomaly_i^{detect}$ highlight the severely anomalous regions. The parameter Nanomaly is related to the detection range, written as

$${N_{anomaly}} = {{({{N_{detect}} + 1} )} / \textrm{2}}.$$

According to the logical matrix $Anomaly_i^{detect}$, the information of the imaging anomalies along the boundaries as shown in Fig. 4 can be obtained.

2.2.3 Modification for the imaging anomalies based on virtual edge

The image quality is improved by modifying the detected imaging anomalies. The modification constraints and strategies affect the OPC results such as the global image fidelity, the correction efficiency, and the manufacturability of the modified mask. Figure 5 demonstrates the modification constraints and strategies for the proposed PBOPC in this paper.

 figure: Fig. 5.

Fig. 5. Modification constraints and strategies for the proposed method. (a) Minimum spacing between the features, (b) minimum allowable width for the features, (c) restricted offset distance relative to the target contour, (d) maximum distance for expansion around the corner region, (e) the initial modification according to the depth of anomaly, (f) repeated detections and modifications for the anomalous region with a large span, (g) no modifications for the anomalous region with a small span, (h) the effect of modification sequence.

Download Full Size | PDF

On the one hand, some modification constraints related to the design rules and correction scope control are required to be satisfied during modification. Figure 5(a) shows the minimum spacing Spacingmin between the features to prevent the bridging hotspot. Figure 5(b) shows the minimum allowable width Widthmin for the features to prevent the breaking hotspot at too slim sites. Figure 5(c) shows the maximum offset distance Offsetmax of the modified edges relative to the target contour, to avoid unexpected aggressive modifications for the local anomaly while ignoring the synergetic modifications in the neighborhood. Figure 5(d) shows the maximum expansion distance of the squared corner region Expansionmax when adjusting the transparent region around the convex corners. The limit of maximum expansion distance is also applied to the squared corner region when adjusting the opaque region around the concave corners. These four parameters are chosen according to the feature size and the pattern density. The modification constraints determine the manufacturability of the modified mask and modification performance. By choosing appropriate settings for the modification constraints, the balance of mask manufacturability and modification performance is realized.

On the other hand, several modification strategies are applied to realize the efficient and adequate anomaly modifications. Figure 5(e) uses the detected depth of anomaly Ndepth as the initial guess of modification, and decides the subsequent modification direction according to the initial modification results. This avoids the waste of efforts for trials of modification step by step at the early state. The parameter Spanmax and Spanmin are used to identify the extent of imaging anomalies in directions along the boundaries, which is obtained from Eq. (22). Different extents of imaging anomalies are dealt with in different ways. Figure 5(f) shows the anomalous region with a large span, not less than Spanmax. Because the effort needed for each site differs according to the neighbor geometry, the anomalous region may break into several smaller anomalous regions when only a few sites are modified. Therefore, repeated detections and modifications are necessary for the anomalous region with a large span. Spanmax is empirically set as the critical dimension (CD) of the mask features. By contrast, as shown in Fig. 5(g), for the anomalous region with a small span, not larger than Spanmin, the modification may generate a long slim bar or a deep narrow notching, increasing the difficulty of manufacturability. Thus, ignoring the anomaly region without any modification would be preferred in this case. Spanmin is empirically set as one half of CD, which balances the modification accuracy and efficiency. For the anomalous regions with a span between Spanmin and Spanmax, one single modification is enough to correct most sites in the regions. Figure 5(h) demonstrates the effect of modification sequence. Shrinkage occurs at the opposite ends of feature A and feature B. The modification for feature A causes an indirect correction for nearby feature B. In the proposed OPC method, for each type of imaging anomaly, the anomaly detection across the wafer image is completed at once, followed by the successive modifications for each local imaging anomaly of this type. From the prospective of global correction efficiency, the modification for a local anomalous region whose extent of anomaly has been affected by earlier modifications of other anomalies ought to be set aside temporarily. When the modifications for all the other anomalies with the same type are completed, an additional detection for the skipped anomalous region is performed, and adaptive modifications are carried out.

The local anomalous regions are corrected with the modification constraints and strategies introduced above. Adaptive virtual edges are generated according to the type and extent of the local imaging anomalies. There is no explicit merit function to evaluate the results of the local anomaly modification in the proposed OPC method. Instead, the imaging results of the corner pixels and the boundary pixels can reflect the turning point of the corresponding anomaly modifications. That is to say, the corner pixels with anomaly turn to be perfectly imaged. For the extension anomaly along the boundary, the turning point of modification arrives when all the anomalous pixels at the outer boundary within the span are corrected to be perfectly imaged. For the shrinkage anomaly along the boundary, the turning point arrives when at least one anomalous pixel at the inner boundary within the span is corrected to be perfectly imaged. The judgement on the imaging results of the corner pixels and the boundary pixels are more flexible than the settings for observation points in EBOPC, and easier for the control of contour fidelity.

Figure 6 illustrates the virtual edge based modification for the corner rounding by taking the lower left (LL) convex corner and the lower left concave corner as an example. In Fig. 6(a), the initially reserved square corner region is determined by two vertex pixels P and Q. The corresponding resist contour is plotted with a solid blue curve. The convex corner rounding is regarded as the shrinkage anomaly around the corner pixel P. It is a natural idea to alleviate the corner rounding by expanding the transparent region around the corner. To keep the corner modification separated from the modifications along the adjacent horizontal edge and vertical edge, the vertex pixel Q is fixed and the lower left vertex pixel of the square region shifts to pixel P1. The pixels which are attached to the current square region and labelled with symbol “X” are combined to form a right angled virtual edge. The opaque pixels on the virtual edge turn transparent to represent the expansion of the transparent region. The virtual edge sweeps in the lower left direction to obtain a more matched resist contour as plotted with a dashed blue curve in Fig. 6(a). The modification continues until the convex corner pixel P is perfectly imaged or the expansion distance reaches the defined Expansionmax. The same flow goes for the virtual edge based modification for concave corner rounding as shown in Fig. 6(b). The virtual edge comprises the pixels which are attached to the current opaque square region and labelled with symbol “X”. The transparent pixels on the virtual edge turn opaque to expand the opaque region. The virtual edge sweeps in the lower left direction until the concave corner pixel P is perfectly imaged or the expansion distance reaches the defined Expansionmax. It should be noted that the extension anomalies around the convex corners as well as the shrinkage anomalies around the concave corners are ignored by a good control of the neighborhood, with the help of modification constraints. Similar modification flows are available for other types of convex corners and concave corners. Perfect imaging of a corner pixel is not only the target for the corner pixel itself during modification, but also a control of the imaging results of the region around that pixel due to topological continuity.

 figure: Fig. 6.

Fig. 6. Virtual edge based modification for (a) lower left convex corner rounding, and (b) lower left concave corner rounding.

Download Full Size | PDF

Figure 7 illustrates the virtual edge based modification for extension anomaly and shrinkage anomaly along the horizontal boundaries. It should be noted that the initial straight horizontal edges become stepped after a series of local modifications, plotted with solid red lines in Fig. 7.

 figure: Fig. 7.

Fig. 7. Virtual edge based modification for (a) horizontal extension anomaly, and (b) horizontal shrinkage anomaly.

Download Full Size | PDF

As shown in Fig. 7(a), the solid red line represent the current feature edge with staircase shape which separates the transparent region and the opaque region, and the corresponding resist contour is plotted with a solid blue curve. Within the span of anomaly, the closest transparent pixels to current feature edge from each column are picked out to form a virtual edge. All the pixels on the virtual edge are labelled with symbol “X”. The transparent pixels on the virtual edge turn opaque to represent the contraction of the transparent region. The virtual edge sweeps inward to obtain a more matched resist contour as plotted with a dashed blue curve. The modification continues until the turning point of the anomaly modification is reached, or any of the modification constraints is no longer satisfied. The same flow goes for the virtual edge based modification for horizontal shrinkage anomaly, as shown in Fig. 7(b). The virtual edge comprises the closest opaque pixels to current feature edge from each column within the span of anomaly. The opaque pixels on the virtual edge turn transparent to represent the expansion of the transparent region. The virtual edge sweeps outward until the turning point of the anomaly modification is reached, or any of the modification constraints is no longer satisfied. Similar modification flows are available for the virtual edge based modification for extension anomaly and shrinkage anomaly along the vertical boundaries.

The severe imaging anomalies along the boundaries can hardly be corrected by limited modifications for adjacent corner regions. Therefore, the modifications for the anomalies along the boundaries are prior to the modifications for the anomalies around the corners. And the shrinkage anomaly modification is prior to the extension anomaly modification, to avoid more severe shrinkage anomalies induced by the extension anomaly modification first. All the modification constraints and strategies are required to be satisfied. Several loops of modification are carried out to reduce the influence of modification sequence on the local contours. In addition, for the mask with symmetry, the corresponding forced symmetrization operations are applied to the modified mask after each loop of corner modification and after each loop of boundary modification.

2.3 PBOPC based on mask pixelation with two-phase sampling

The aerial image is numerically calculated according to Eq. (4). The mask spectrum $O(\hat{f}^{\prime},\hat{g}^{\prime})$, the TCC kernel $\Phi _i^M$, and their elementwise multiplication are matrices with the same size N×N. N denotes the lateral dimension of the pixelated mask as mentioned above, and has a great influence on the computational efficiency of the IFFT operation, thus affecting the efficiency for aerial image calculation. Enormous number of forward imaging calculations are needed to evaluate the results of anomaly modifications during OPC. Therefore, accelerating the forward imaging evaluation helps to improve the OPC efficiency.

Actually, the dimension N also denotes the number of diffraction orders when using FFT to numerically calculate the mask spectrum. However, the maximum number of diffraction orders in one direction that can pass the pupil to participate in imaging is limited by the numerical aperture (NA) and the mask period as [31]

$${N_{order}} = 2 \times floor({{{({1 + {\sigma_{out}}} )\times NA \times pitch} / \lambda }} )+ 1.$$
λ denotes the wavelength of the illumination source, σout denotes the outer partial coherence factor for the source, pitch denotes the mask period in one direction. For the case of 193nm immersion lithography and 1.35NA, the number of diffraction orders which are effective for imaging evaluation is much less than the number of discretized pixels in one direction. Hence, substituting the full mask spectrum which is directly calculated with the pixelated mask for AI calculation reduces the efficiency of imaging evaluation, while the accurate imaging results can be obtained with the low frequency components extracted from the full mask spectrum. The calculated PI with the low frequency components is a matrix with lateral dimension less than the dimension of the pixelated mask. According to Eq. (6), the dimension of the target pattern should be in accordance with that of PI for comparison. As a result, two sampling levels are needed for mask pixelation. The coarsely sampled mask MC acts as the target pattern (TP), and is used to detect the local imaging anomalies by comparing with the printed wafer image. It is an NC×NC matrix. The finely sampled mask MF is an NF×NF matrix. The finely sampled mask pixel represents the grid for mask writing, and its size determines the modification resolution. The ratio of NF and NC should be a positive integer, so that the adaptively generated virtual edges in finely gridded mask will not deviate from the span of the detected anomaly in coarsely gridded printed wafer image. Although the number of effective diffraction orders for imaging is small and can be used for rapid imaging evaluation, it is still not appropriate for coarse mask pixelation owing to the poor control of the contour fidelity with in a large pixel. A proper NC is needed to adequately characterize the distribution of the features in the desired pattern. The value of NC depends on the critical dimension of the mask, and is usually larger than Norder. The size of coarsely sampled pixel determines the ability of contour control for the proposed method.

The full spectrum of the finely pixelated mask is calculated by

$${O_F} = FFT\{{{M_F}} \}.$$
FFT denotes the fast Fourier transform. The zero-frequency component is shifted to center of the spectrum. The low frequency components extracted from the full spectrum are written as
$${O_C} = {O_F}({{{({{N_F} - {N_C}} )} / 2} + 1:{{({{N_F} + {N_C}} )} / 2},\;{{({{N_F} - {N_C}} )} / 2} + 1:{{({{N_F} + {N_C}} )} / 2}} ).$$

The aerial image of size NC×NC is calculated with the pre-calculated $\Phi _i^M$, Si, and the extracted low frequency mask spectrum according to Eq. (4). Then the printed wafer image is obtained according to Eq. (5).

The flow of anomaly detection and modification is the same as introduced in Section 2.2, except the generation of virtual edges. Figure 8 demonstrates the virtual edges generated in the finely gridded mask for the anomaly modification around the corners and along the boundaries. The pixels on the virtual edges are labelled with symbol “+”. The available modification constraints and strategies are the same as introduced above.

 figure: Fig. 8.

Fig. 8. The virtual edges generated in the finely gridded mask for the anomaly modification (a) around the corners, (b) along the boundaries.

Download Full Size | PDF

3. Simulations and analysis

This Section presents several simulations to verify the efficiency of the proposed OPC method. In this paper, all of the simulations are implemented on a computer with Intel Core i5-6300HQ CPU, 2.3GHz, and 8GB of RAM.

The simulations exploit the vector imaging theory for the 193nm immersion lithography system. An annular source with the inner partial coherence factor σin = 0.6 and the outer partial coherence factor σout = 0.8 is used. The source is discretized into 51×51 pixels. And the polarization mode of the illumination source is set as tPol, i.e. linear polarization with tangential orientation. The numerical aperture NA is 1.35, the reduction of the projection optics is 4, and the refractive index of the immersion liquid is 1.44. The number of TCC kernels is K = 12, and the accuracy of aerial image has been validated with the Abbe method. The constant threshold resist model is applied, with a pattern-specific threshold.

As mentioned in the introduction, the pixelated mask optimization (MO) methods based on heuristic algorithms are widely used for mask modification, because they are free of gradient calculations and compatible with the lithographic imaging systems with complicated resist models. Both the covariance matrix adaptation evolution strategy (CMA-ES) and the self-adaptive differential evolution (JADE) have shown excellent performances for mask optimization as demonstrated in [24]. However, the time-consuming eigenvalue decomposition of high-dimensional matrices like the finely sampled mask pattern limits the efficiency of CMA-ES. Therefore, the JADE based mask optimization will be used for comparison with the proposed PBOPC method on the efficiency of mask modification and the capacity of contour fidelity control. The size of mask pixels determines the resolution of modification. The same modification resolution is selected for different methods in comparison. That is to say, the mask pixel size in JADE based MO, the mask pixel size in the proposed OPC based virtual edge, and the pixel size for the finely sampled mask in the proposed OPC based on mask pixelation with two-phase sampling are equal to each other. To improve the manufacturability of the output mask, a Gaussian-type filter as shown in [33] is applied to the mask in JADE based MO. The merit function for JADE based MO is defined as the Euclidean distance between PI and TP.

Several evaluation metrics are exploited for the comparison of modification performance with different methods, such as the runtime, the area error ratio in PI, and the average edge placement error (EPE). The runtime reflects the efficiency of mask modification, and we use the runtime as an indicator of the convergence efficiency in this paper. The area error ratio in PI denotes the ratio of the area of the unmatched regions between PI and TP relative to the area of the full mask. The area of the unmatched regions is calculated by collecting the number of unmatched pixels which are sampled from PI and TP with a very small step. The sampling step is set as 1nm in this paper. The average EPE is defined as the average of the absolute values for all the measured local EPEs, and characterizes the capacity of global contour control with the mask modification method [34]. Figure 9 illustrates the measurement for local EPE. EPE is the geometrical distance between a point on the target boundary and its corresponding point on the resist contour. The local EPE is defined to be 0 when the resist contour overlaps with the target contour at the measurement point, positive when shrinkage anomaly occurs at the measurement point, and negative when extension anomaly occurs at the measurement point. The unavoidable corner rounding due to the diffraction-limited property of the imaging system usually leads to relatively larger local EPEs than the other sites after correction. In practice, to avoid producing erroneous measurements in the corner regions, segments in those regions may be identified and tagged such that no measurement to the contour curve occurs in the area of corner regions. Therefore, the EPE evaluation is relaxed around the corner regions. The measurement points are uniformly set on the target contour with a sampling step ΔS, except the sites around the corner regions specified with Lnonsamp. This relaxation operation can more accurately reflect the overall EPE improvement by OPC. The parameter Lnonsamp is set with a value much smaller than the critical dimension of the mask. In order to fully and accurately capture the offset between the two contours, a dense sampling of the measurement points is implemented with ΔS = 1nm, and Lnonsamp is set as 5nm for the non-sampling area in this paper.

 figure: Fig. 9.

Fig. 9. Illustration of the measurement for local EPEs.

Download Full Size | PDF

Figure 10 shows the symmetrical mask pattern used in the simulations for the comparison of modification performances among different methods. The pixels in the black region are opaque pixels with transmittance of zero, and the pixels in the white region are transparent pixels with transmittance of one. The transparent features together with the opaque background form the whole mask pattern. The size of the mask pattern is 1215nm×1215nm. The CD is 45nm, corresponding to the minimum width of the mask features. For the modification case with JADE based MO and the proposed PBOPC based on virtual edge, the mask is pixelated as a 405×405 matrix with the pixel size being 3nm×3nm. For the proposed PBOPC based on mask pixelation with two-phase sampling, the finely sampled mask is also a 405×405 matrix with the pixel size being 3nm×3nm, while the coarsely sampled mask is a 135×135 matrix with the pixel size being 9nm×9nm.

 figure: Fig. 10.

Fig. 10. The symmetrical mask pattern used for the comparison of modification performances among different methods.

Download Full Size | PDF

The above three methods are applied to modify the mask pattern respectively, so as to improve the contour fidelity. The resist threshold tr is set as 0.23. The parameters for JADE based MO are the same as those in [24], and only one loop of mask optimization is performed. Table 1 lists the parameters for the proposed method in the simulations. Five loops of modifications are performed for both the OPC method based on virtual edge and the OPC method based on mask pixelation with two-phase sampling.

Tables Icon

Table 1. The parameters for the proposed PBOPC method in the simulations

Figure 11 demonstrates the correction results for the same mask pattern using different modification methods. Three columns from left to right represent the mask, the aerial image (AI), and the comparison between the resist contour (RC) and the target contour (TC), respectively. RC is outlined with red solid lines. TC appears as the border of the background pattern in dark red.

 figure: Fig. 11.

Fig. 11. Correction results for the symmetrical mask pattern using different modification methods. From left to right: the mask, the aerial image (AI), the comparison between the resist contour (RC) and the target contour (TC). From top to bottom: the simulation results with the initial mask, the simulation results with the mask modified by JADE based MO, virtual edge based OPC and two-phase sampling based OPC, respectively.

Download Full Size | PDF

From visual point of view, all of the three kinds of modification methods improve the resist contour by correcting the imaging distortions for the initial mask. It is obvious that both the virtual edge based OPC and the two-phase sampling based OPC are better at modifying the imaging anomalies around the corners and the line ends than JADE based MO. In addition, the resist contour obtained by JADE based MO is wavy, while the resist contours obtained by the virtual edge based OPC and the two-phase sampling based OPC are straighter and smoother. Moreover, the mask corrected with JADE based MO has rugged outlines and scattered blocks. By contrast, the masks corrected with the virtual edge based OPC and the two-phase sampling based OPC have more regular profiles, which are more manufacturable.

We further analyze the modification results in Fig. 11 quantitatively. Table 2 lists several evaluation metrics for comparison among the correction performances of different modification methods, including the average EPE, the area error ratio, and the runtime for the whole modification process.

Tables Icon

Table 2. Comparisons among the correction performances of different modification methods for the symmetrical mask pattern

It should be noted that the initial evaluation metrics for three methods are slightly distinguished. Several reasons are responsible for the distinctions. Firstly, the mask filtering applied in JADE based MO causes subtle changes in the mask spectrum, and leads to a slightly altered imaging result. Secondly, PI in virtual edge based OPC is directly calculated according the pixelated mask, while PI in two-phase sampling based OPC is calculated according to the interpolation result from the obtained aerial image on coarse grids. The interpolation operation results in the differences in imaging results for these two methods. Exactly, the small differences in initial evaluation metrics act as mutual confirmation, and verify the accuracy of these methods for imaging evaluation. Actually, the subtle distinctions make no difference on the performance comparison of these methods.

It takes the proposed OPC method much less time to achieve a better contour fidelity than JADE based MO. Compared with JADE based MO, the virtual edge based OPC provides an improvement of modification efficiency by about 10 times, according to the runtime consumptions in the last column in Table 2. The efficiency improvement comes from synergy of the pixels on the adaptively generated virtual edge for targeted modifications of the local imaging anomalies, with the well-designed modification constraints and strategies for global control of the modification procedure. The two-phase sampling based OPC is an extension of the virtual edge based OPC. It fully utilizes the diffraction-limited property to separate the imaging evaluations and the mask modifications at two sampling levels, which saves the time consumption for imaging evaluations and guarantees the modification resolution as well. The two-phase sampling strategy improves the modification efficiency of the virtual edge based OPC by more than 5 times, according to the time consumptions in the last column in Table 2. In addition, the imaging evaluation and anomaly detection are conducted at coarsely sampled pixels in two-phase sampling based OPC, while those are directly conducted at finely sampled pixels in virtual edge based OPC. When the anomaly detections are performed at the coarsely pixelated mask with a bigger pixel size, the accuracy of the contour control is slightly reduced in some extent. This explains why the average EPE and the area error ratio of the two-phase sampling based OPC are a bit larger than those of the virtual edge based OPC in Table 2.

An asymmetrical mask pattern is applied to validate the reliability of the proposed PBOPC based on virtual edge and mask pixelation with two-phase sampling. The size of the mask is 1035nm×1035nm. The finely sampled version of this mask is a 345×345 matrix with the pixel size being 3nm×3nm, while the coarsely sampled version of this mask is a 115×115 matrix with the pixel size being 9nm×9nm. The resist threshold for this mask is tr = 0.2. All the simulation parameters are the same as above. Figure 12 demonstrates the correction results for the asymmetrical mask pattern using different modification methods. A quantitative analysis of the correction results corresponding to Fig. 12 is listed in Table 3. The simulation results for the asymmetrical mask are similar to those for the symmetrical mask. The proposed PBOPC based on virtual edge and mask pixelation with two-phase sampling improves the mask modification efficiency by more than 64 times relative to JADE based MO, and gets a better contour fidelity.

 figure: Fig. 12.

Fig. 12. Correction results for the asymmetrical mask using different modification methods.

Download Full Size | PDF

Tables Icon

Table 3. Comparisons among the correction performances of different modification methods for the asymmetrical mask pattern

It is noticeable that the crossed connection site in the lower part of the center space in Fig. 12(j) may increase the difficulty of mask manufacturing, due to the aggressive modifications around the four concave corners. The modification constraint parameter Expansionmax can be adjusted to improve the mask manufacturability, as mentioned in section 2.2.3. Figure 13 demonstrates the correction results for the same mask pattern in Fig. 12(a) with Expansionmax set as 12nm while keeping other parameters unchanged. After modification, the average EPE is 3.901nm, the area error ratio is 3.112%, and the whole runtime is 16.406s. It is obvious that the manufacturability of the modified mask in Fig. 13(a) is better than that of the modified mask in Fig. 12(j), especially at the crossed connection site in the lower part of the center space. With a smaller Expansionmax, the modifications around the corners are weakened. Although the whole runtime is reduced, the average EPE and the area error ratio increase instead. It proves that the mask manufacturability and modification performance can be balanced by choosing appropriate settings for the modification constraints.

 figure: Fig. 13.

Fig. 13. Correction results for the asymmetrical mask using two-phase sampling based OPC (Expansionmax=12nm).

Download Full Size | PDF

Without loss of generality, the proposed OPC method has been tested with different mask patterns and proves to be effective. Figure 14 demonstrates the modification performance of the proposed OPC method for a larger layout, which is similar to Fig. 7(a) in Reference 18. The size of this mask is 2835nm×2835nm. The finely sampled version of this mask is a 945×945 matrix with the pixel size being 3nm×3nm, while the coarsely sampled version of this mask is a 315×315 matrix with the pixel size being 9nm×9nm. The resist threshold for this mask is tr=0.18. All the simulation parameters are the same as above. After modification, the average EPE reduces from 9.796nm to 2.699nm, and the area error ratio reduces from 2.336% to 0.998%. The whole runtime is 179.917s. The robust ILT method in Reference 18 considers multiple process conditions and is bound to take more time than nominal OPC, owing to imaging evaluations at multiple focus positions. It is inappropriate to compare the runtime of the proposed nominal OPC method with that being 1480s in Reference 18. However, the order of magnitude of the runtime in Reference 18 can act as a reference to show the excellent efficiency of the proposed OPC method for larger layouts.

 figure: Fig. 14.

Fig. 14. Correction results for a larger layout using two-phase sampling based OPC.

Download Full Size | PDF

The proposed OPC method is only applicable to binary masks currently. For phase shifting mask, it may work to combine the proposed method with phase region division schemes. For EUV masks, the proposed OPC method may be extended with EUV mask models. The proposed OPC method can also be applied to light-field masks, once the corresponding anomaly detection templates, target pattern, anomalous region determination and modification strategies are adjusted according to the mask tone. The methods for imaging anomaly detection and modification can be extended to suit for more realistic layouts and more aggressive feature sizes. The corners and boundaries of the features are always suitable for anomaly detection. The virtual edge generation and the modification based on virtual edges are applicable, once the modification constraints are adjusted according to the feature size. When the process factor k1 gets smaller, more attention should also be paid to design rules so as to generate more regular patterns. In addition, this paper focuses on developing an OPC method under nominal process condition. It is worth trying to extend the proposed OPC method to one that is robust against process variations, by developing an intelligent and efficient SRAF generation method, and introducing an imaging anomaly detection scheme for multiple process conditions.

4. Conclusion

In this paper, an efficient OPC method based on virtual edge and mask pixelation with two-phase sampling has been developed. The global image fidelity is guaranteed by controlling the contour fidelity across the image. The classification of the imaging anomalies, the accurate detection of the local imaging anomalies, the modification constraints and strategies, the anomaly modification based on the adaptively generated virtual edges, as well as the acceleration of imaging evaluation through the mask pixelation with two-phase sampling are studied. On the one hand, targeted modifications are efficiently performed with the help of virtual edges under several modification constraints and strategies, to reduce the detected local imaging anomalies. On the other hand, the diffraction-limited property of the imaging system is utilized to accelerate the imaging evaluation so as to improve the OPC efficiency. Optimization algorithms and explicit merit functions are not needed during the modification for local imaging anomalies. Simulation results demonstrate that the OPC based on virtual edge and mask pixelation with two-phase sampling improves the modification efficiency of PBOPC significantly.

Funding

National Major Science and Technology Projects of China (2017ZX02101004-002, 2017ZX02101004); Natural Science Foundation of Shanghai (17ZR1434100).

Disclosures

The authors declare no conflicts of interest.

References

1. A. K. Wong, Resolution Enhancement Techniques in Optical Lithography (SPIE, 2001).

2. G. T. Luk-Pat, A. Miloslavsky, A. Ikeuchi, H. Suzuki, S. Kyoh, K. Izuha, F. Tseng, and L. Wen, “Correcting lithography hot spots during physical-design implementation,” Proc. SPIE 6349, 634920 (2006). [CrossRef]  

3. X. Ma and Y. Li, “Resolution enhancement optimization methods in optical lithography with improved manufacturability,” J. Micro/Nanolith. MEMS MOEMS 10(2), 023009 (2011). [CrossRef]  

4. O. W. Otto, J. G. Garofalo, K. K. Low, C. Yuan, R. C. Henderson, C. Pierrat, R. L. Kostelak, S. Vaidya, and P. K. Vasudev, “Automated optical proximity correction—a rules-based approach,” Proc. SPIE 2197, 278–293 (1994). [CrossRef]  

5. O. W. Otto and R. C. Henderson, “Advances in process matching for rules-based optical proximity correction,” Proc. SPIE 2884, 425–434 (1996). [CrossRef]  

6. A. Poonawala, B. Painter, and C. Kerchner, “Model-based assist feature placement for 32 nm and 22 nm technology nodes using inverse mask technology,” Proc. SPIE 7488, 748814 (2009). [CrossRef]  

7. X. Ma, S. Jiang, J. Wang, B. Wu, Z. Song, and Y. Li, “A fast and manufacture-friendly optical proximity correction based on machine learning,” Microelectron. Eng. 168, 15–26 (2017). [CrossRef]  

8. N. Cobb and A. Zakhor, “Fast, low-complexity mask design,” Proc. SPIE 2440, 313–327 (1995). [CrossRef]  

9. W. C. Huang, C. M. Lai, B. Luo, C. K. Tsai, M. H. Chih, C. W. Lai, C. C. Kuo, R. G. Liu, and H. T. Lin, “Intelligent model-based OPC,” Proc. SPIE 6154, 615436 (2006). [CrossRef]  

10. Y. Chen, K. Wu, Z. Shi, and X. Yan, “A feasible model-based OPC algorithm using Jacobian matrix of intensity distribution functions,” Proc. SPIE 6520, 65204C (2007). [CrossRef]  

11. C. Cork, X. Li, and S. Jang, “Edge placement error reduction and ringing effect suppression using model based targeting techniques,” Proc. SPIE 8326, 83261U (2012). [CrossRef]  

12. V. Constantoudis, G. Papavieros, G. Lorusso, V. Rutigliani, F. V. Roey, and E. Gogolides, “Line edge roughness metrology: recent challenges and advances toward more complete and accurate measurements,” J. Micro/Nanolith. MEMS MOEMS 17(4), 041014 (2018). [CrossRef]  

13. Y. Granik, “Solving inverse problems of optical microlithography,” Proc. SPIE 5754, 47 (2005). [CrossRef]  

14. Y. Granik, “Fast pixel-based mask optimization for inverse lithography,” J. Microlith., Microfab. Microsyst. 5(4), 043002 (2006). [CrossRef]  

15. A. Poonawala and P. Milanfar, “Mask design for optical microlithography—an inverse imaging problem,” IEEE Trans. on Image Process. 16(3), 774–788 (2007). [CrossRef]  

16. X. Ma, Y. Li, and L. Dong, “Mask optimization approaches in optical lithography based on a vector imaging model,” J. Opt. Soc. Am. A 29(7), 1300–1312 (2012). [CrossRef]  

17. X. Ma and G. R. Arce, “Pixel-based OPC optimization based on conjugate gradients,” Opt. Express 19(3), 2165–2180 (2011). [CrossRef]  

18. W. Lv, E. Y. Lam, H. Wei, and S. Liu, “Cascadic multigrid algorithm for robust inverse mask synthesis in optical lithography,” J. Micro/Nanolith. MEMS MOEMS 13(2), 023003 (2014). [CrossRef]  

19. X. Ma, Z. Wang, Y. Li, G. R. Arce, L. Dong, and J. Garcia-Frias, “Fast optical proximity correction method based on nonlinear compressive sensing,” Opt. Express 26(11), 14479–14498 (2018). [CrossRef]  

20. Y. Shen, N. Wong, and E. Y. Lam, “Level-set-based inverse lithography for photomask synthesis,” Opt. Express 17(26), 23690–23701 (2009). [CrossRef]  

21. Y. Shen, N. Jia, N. Wong, and E. Y. Lam, “Robust level-set-based inverse lithography,” Opt. Express 19(6), 5511–5521 (2011). [CrossRef]  

22. W. Lv, S. Liu, Q. Xia, X. Wu, Y. Shen, and E. Y. Lam, “Level-set-based inverse lithography for mask synthesis using the conjugate gradient and an optimal time step,” J. Vac. Sci. Technol., B: Nanotechnol. Microelectron.: Mater., Process., Meas., Phenom. 31(4), 041605 (2013). [CrossRef]  

23. S. Li, X. Wang, and Y. Bu, “Robust pixel-based source and mask optimization for inverse lithography,” Opt. Laser Technol. 45, 285–293 (2013). [CrossRef]  

24. G. Chen, S. Li, and X. Wang, “Source mask optimization using the covariance matrix adaptation evolution strategy,” Opt. Express 28(22), 33371–33389 (2020). [CrossRef]  

25. N. Jia and E. Y. Lam, “Machine learning for inverse lithography: using stochastic gradient descent for robust photomask synthesis,” J. Opt. 12(4), 045601 (2010). [CrossRef]  

26. R. Luo, “Optical proximity correction using a multilayer perceptron neutral network,” J. Opt. 15(7), 075708 (2013). [CrossRef]  

27. X. Ma, B. Wu, Z. Song, S. Jiang, and Y. Li, “Fast pixel-based optical proximity correction based on nonparametric kernel regression,” J. Micro/Nanolith. MEMS MOEMS 13(4), 043007 (2014). [CrossRef]  

28. K. Luo, Z. Shi, X. Yan, and Z. Geng, “SVM based layout retargeting for fast and regularized inverse lithography,” J. Zhejiang Univ. - Sci. C 15(5), 390–400 (2014). [CrossRef]  

29. X. Ma, Q. Zhao, H. Zhang, Z. Wang, and G. R. Arce, “Model-driven convolution neural network for inverse lithography,” Opt. Express 26(25), 32565–32584 (2018). [CrossRef]  

30. P. Gao, A. Gu, and A. Zakhor, “Optical proximity correction with principal component regression,” Proc. SPIE 6924, 69243N (2008). [CrossRef]  

31. A. K. Wong, Optical Imaging in Projection Microlithography (SPIE, 2005).

32. P. De Bisschop, “How to make lithography patterns print: the role of OPC and pattern layout,” Adv. Opt. Technol. 4(4), 253–284 (2015). [CrossRef]  

33. W. Lv, Q. Xia, and S. Liu, “Mask-filtering-based inverse lithography,” J. Micro/Nanolith. MEMS MOEMS 12(4), 043003 (2013). [CrossRef]  

34. A. H. Gabor, A. C. Brendler, T. A. Brunner, X. Chen, J. A. Culp, and H. J. Levinson, “Edge placement error fundamentals and impact of EUV: will traditional design-rule calculations work in the era of EUV?” J. Micro/Nanolith. MEMS MOEMS 17(4), 041008 (2018). [CrossRef]  

Cited By

Optica participates in Crossref's Cited-By Linking service. Citing articles from Optica Publishing Group journals and other participating publishers are listed here.

Alert me when this article is cited.


Figures (14)

Fig. 1.
Fig. 1. Illustration of the effect of OPC on the imaging quality of the lithography system.
Fig. 2.
Fig. 2. Classification of imaging anomalies.
Fig. 3.
Fig. 3. Configuration of the detection sites for different types of imaging anomalies.
Fig. 4.
Fig. 4. Description of the imaging anomalies along the boundaries.
Fig. 5.
Fig. 5. Modification constraints and strategies for the proposed method. (a) Minimum spacing between the features, (b) minimum allowable width for the features, (c) restricted offset distance relative to the target contour, (d) maximum distance for expansion around the corner region, (e) the initial modification according to the depth of anomaly, (f) repeated detections and modifications for the anomalous region with a large span, (g) no modifications for the anomalous region with a small span, (h) the effect of modification sequence.
Fig. 6.
Fig. 6. Virtual edge based modification for (a) lower left convex corner rounding, and (b) lower left concave corner rounding.
Fig. 7.
Fig. 7. Virtual edge based modification for (a) horizontal extension anomaly, and (b) horizontal shrinkage anomaly.
Fig. 8.
Fig. 8. The virtual edges generated in the finely gridded mask for the anomaly modification (a) around the corners, (b) along the boundaries.
Fig. 9.
Fig. 9. Illustration of the measurement for local EPEs.
Fig. 10.
Fig. 10. The symmetrical mask pattern used for the comparison of modification performances among different methods.
Fig. 11.
Fig. 11. Correction results for the symmetrical mask pattern using different modification methods. From left to right: the mask, the aerial image (AI), the comparison between the resist contour (RC) and the target contour (TC). From top to bottom: the simulation results with the initial mask, the simulation results with the mask modified by JADE based MO, virtual edge based OPC and two-phase sampling based OPC, respectively.
Fig. 12.
Fig. 12. Correction results for the asymmetrical mask using different modification methods.
Fig. 13.
Fig. 13. Correction results for the asymmetrical mask using two-phase sampling based OPC (Expansionmax=12nm).
Fig. 14.
Fig. 14. Correction results for a larger layout using two-phase sampling based OPC.

Tables (3)

Tables Icon

Table 1. The parameters for the proposed PBOPC method in the simulations

Tables Icon

Table 2. Comparisons among the correction performances of different modification methods for the symmetrical mask pattern

Tables Icon

Table 3. Comparisons among the correction performances of different modification methods for the asymmetrical mask pattern

Equations (27)

Equations on this page are rendered with MathJax. Learn more.

I ( x ^ , y ^ ) = + T C C ( f ^ , g ^ ; f ^ , g ^ ) O ( f ^ , g ^ ) O ( f ^ , g ^ ) e i 2 π [ ( f ^ f ^ ) x ^ + ( g ^ g ^ ) y ^ ] d f ^ d g ^ d f ^ d g ^ .
T C C ( f ^ , g ^ ; f ^ , g ^ ) = J ( f ^ , g ^ ) H ( f ^ + f ^ , g ^ + g ^ ) H ( f ^ + f ^ , g ^ + g ^ ) d f d g .
T C C ( f ^ , g ^ ; f ^ , g ^ ) i = 1 K S i Φ i ( f ^ , g ^ ) Φ i ( f ^ , g ^ ) .
I = i = 1 K S i | I F F T { Φ i M ( f ^ , g ^ ) O ( f ^ , g ^ ) } | 2 .
P I = Γ ( I t r ) .
D i f f e r e n c e = T P P I .
N c o r n e r = f l o o r ( L c o r n e r / a ) .
H B E T = [ 1 1 1 1 0 0 0 0 1 1 1 1 ] 2 × N c o r n e r + 1 .
c o n v H = H B E T T P .
I H B = ( c o n v H = = 2 × N c o r n e r + 1 ) & ( T P = = 1 ) .
O H B = ( c o n v H = = 2 × N c o r n e r + 1 ) & ( T P = = 0 ) .
V B E T = H B E T T .
c o n v V = V B E T T P .
I V B = ( c o n v V = = 2 × N c o r n e r + 1 ) & ( T P = = 1 ) .
O V B = ( c o n v V = = 2 × N c o r n e r + 1 ) & ( T P = = 0 ) .
c e n t e r = T P ( 2 : N 1 , 2 : N 1 ) , l e f t = T P ( 2 : N 1 , 1 : N 2 ) , r i g h t = T P ( 2 : N 1 , 3 : N ) , l o w e r = T P ( 1 : N 2 , 2 : N 1 ) , u p p e r = T P ( 3 : N , 2 : N 1 ) .
t e m p = ( c e n t e r = = 1 ) & ( r i g h t = = 1 ) & ( u p p e r = = 1 ) & ( l e f t = = 0 ) & ( l o w e r = = 0 ) , L L = z e r o s ( N , N ) , L L ( 2 : N 1 , 2 : N 1 ) = t e m p .
t e m p = ( c e n t e r = = 1 ) & ( l e f t = = 1 ) & ( u p p e r = = 1 ) & ( r i g h t = = 0 ) & ( l o w e r = = 0 ) , L R = z e r o s ( N , N ) , L R ( 2 : N 1 , 2 : N 1 ) = t e m p . t e m p = ( c e n t e r = = 1 ) & ( r i g h t = = 1 ) & ( l o w e r = = 1 ) & ( l e f t = = 0 ) & ( u p p e r = = 0 ) , U L = z e r o s ( N , N ) , U L ( 2 : N 1 , 2 : N 1 ) = t e m p . t e m p = ( c e n t e r = = 1 ) & ( l e f t = = 1 ) & ( l o w e r = = 1 ) & ( r i g h t = = 0 ) & ( u p p e r = = 0 ) , U R = z e r o s ( N , N ) , U R ( 2 : N 1 , 2 : N 1 ) = t e m p .
t e m p = ( c e n t e r = = 0 ) & ( r i g h t = = 0 ) & ( u p p e r = = 0 ) & ( l e f t = = 1 ) & ( l o w e r = = 1 ) , L L C = z e r o s ( N , N ) , L L C ( 2 : N 1 , 2 : N 1 ) = t e m p . t e m p = ( c e n t e r = = 0 ) & ( l e f t = = 0 ) & ( u p p e r = = 0 ) & ( r i g h t = = 1 ) & ( l o w e r = = 1 ) , L R C = z e r o s ( N , N ) , L R C ( 2 : N 1 , 2 : N 1 ) = t e m p . t e m p = ( c e n t e r = = 0 ) & ( r i g h t = = 0 ) & ( l o w e r = = 0 ) & ( l e f t = = 1 ) & ( u p p e r = = 1 ) , U L C = z e r o s ( N , N ) , U L C ( 2 : N 1 , 2 : N 1 ) = t e m p . t e m p = ( c e n t e r = = 0 ) & ( l e f t = = 0 ) & ( l o w e r = = 0 ) & ( r i g h t = = 1 ) & ( u p p e r = = 1 ) , U R C = z e r o s ( N , N ) , U R C ( 2 : N 1 , 2 : N 1 ) = t e m p .
H S D T = z e r o s ( N d e t e c t , N d e t e c t ) , H S D T ( ( 1 + N d e t e c t ) / 2 , : ) = 1. H E D T = z e r o s ( N d e t e c t , N d e t e c t ) , H E D T ( ( 1 + N d e t e c t ) / 2 , : ) = 1. V S D T = z e r o s ( N d e t e c t , N d e t e c t ) , V S D T ( : , ( 1 + N d e t e c t ) / 2 ) = 1. V E D T = z e r o s ( N d e t e c t , N d e t e c t ) , V E D T ( : , ( 1 + N d e t e c t ) / 2 ) = 1.
N d e t e c t = 2 × f l o o r ( L d e t e c t / a ) 1.
R s t i d e t e c t = S S i { D i f f e r e n c e } A D T i .
A n o m a l y i d e t e c t = Γ ( R s t i d e t e c t N a n o m a l y ) .
N a n o m a l y = ( N d e t e c t + 1 ) / 2 .
N o r d e r = 2 × f l o o r ( ( 1 + σ o u t ) × N A × p i t c h / λ ) + 1.
O F = F F T { M F } .
O C = O F ( ( N F N C ) / 2 + 1 : ( N F + N C ) / 2 , ( N F N C ) / 2 + 1 : ( N F + N C ) / 2 ) .
Select as filters


Select Topics Cancel
© Copyright 2024 | Optica Publishing Group. All rights reserved, including rights for text and data mining and training of artificial technologies or similar technologies.