Expand this Topic clickable element to expand a topic
Skip to content
Optica Publishing Group

Germanium-on-SOI waveguides for mid-infrared wavelengths

Open Access Open Access

Abstract

We report on the development of Germanium-on-SOI waveguides for mid-infrared wavelengths. The strip waveguides have been formed in 0.85 and 2 μm thick Ge grown on SOI substrate with 220 nm thick Si overlayer. The propagation loss for various waveguide widths has been measured using the Fabry-Perot method with temperature tuning. The minimum loss of ~8 dB/cm has been achieved for 0.85 μm thick Ge core using 3.682 μm laser excitation. The transparency of these waveguides has been measured up to at least 3.82 μm.

© 2016 Optical Society of America

1. Introduction

Mid-infrared (mid-IR) part of the electromagnetic spectrum has been an attractive choice for the development of various sensing and spectroscopic applications, since it overlaps with the vibrational resonance range of 2–20 μm for most of the molecules [1]. The group-IV material systems are among the major contenders for realizing these applications as their transparency range extends well into mid-IR, especially, Ge which is transparent up to 15 μm. Secondly, the group-IV material systems can be directly integrated with on-chip CMOS to achieve complete photonic integrated circuits (PIC).

The PICs development has been largely dominated by the employment of Si-based waveguides, therefore the motivation to extend these for wavelengths beyond near-IR is evident and it has been discussed at length in [2]. Among Si-based waveguides, the silicon-on-insulator (SOI) has been the most popular and widely employed waveguiding material. It is therefore apparent that SOI should be considered seriously for mid-IR wavelengths, and a notable effort in this case has been reported in [3]. However, the low-loss transmission range of 1.2-–3.6 μm for SOI is a limiting case [2]. Therefore, Ge-on-Si has been significantly employed for mid-IR photonics and it has been used to demonstrate various passive optical elements, such as, arrayed waveguide grating multiplexers in [4], planar concave grating wavelength (de)multiplexers in [5], Mach-Zehnder interferometers in [6], and all-optical modulator in [7]. Secondly, low-loss mid-IR waveguides in Ge-on-Si have been reported in [4, 7, 8]. Although most of the work in mid-IR photonics has been realized in Ge-on-Si, however, it has been noted that the Ge-on-SOI provides better thermal stability and electrical isolation due to the underlying SiO2 buffer [9]. One concern is the optical absorption in the buried oxide buffer which can limit the transparency range for Ge-on-SOI, however, carefully increasing the Si layer thickness can circumvent this absorption. The development of Ge-on-SOI photonics is also promising such that a direct integration of Ge with CMOS has been achieved in a monolithically integrated chip [10].

In this work we report on the development of mid-IR waveguides in Ge-on-SOI. Two Ge core thicknesses of 0.85 and 2 μm, grown over SOI substrate, have been employed to develop waveguides of various widths. The deep reactive ion etching (RIE) process has been optimized to achieve smooth and vertical sidewalls for Ge core. Fabry-Perot (FP) method with temperature tuning has been employed to characterize the fabricated waveguides using 3.68–3.82 μm laser excitation. Finally, the material analysis has been performed using transmission electron microscopy (TEM) to characterize the Ge layer quality and understand a relatively higher propagation loss in our waveguides.

2. Ge-on-SOI waveguide development

The starting SOI substrate employed has Si layer thickness of ~220 nm and buried oxide thickness of ~2 μm. The top Ge core has been epitaxially grown using a single wafer cold wall ultra-high vacuum chemical vapour deposition (UHV-CVD) system. The epitaxy process consisted of growing a 20 nm SiGe buffer layer and 30 nm pure Ge seed at 370 °C, followed by a cyclic Ge growth at 550 °C to the required thickness. We have grown two wafers with 0.85 and 2 μm thick Ge cores. After Ge epitaxy, a 200 nm thick oxide hardmask was deposited by plasma-enhanced CVD (PECVD) for waveguide definition. This growth process has been previously reported to achieve a low dislocation defects density in the order of ~107cm−2 for a 500 nm thick Ge film [10].

Strip waveguides of varying widths using different Ge core thicknesses have been patterned in a negative tone resist using direct laser writing. The oxide hardmask has been dry-etched using CHF3/Ar RIE, and the resist has been stripped off for subsequent waveguide definition. The Ge dry-etch has been performed in inductively coupled plasma (ICP) etching using SF6/C4F8 chemistry. This ICP etching recipe has been developed previously to define high aspect ratio Si nanowires [11], however, we have optimized it to achieve smooth and nearly vertical sidewalls for our Ge-on-SOI waveguides. Figure 1 shows the scanning electron microscope (SEM) image of a fabricated waveguide. The samples are finally laser diced to the length of ~5 mm and the oxide hardmask is stripped off in HF before the optical measurements.

 figure: Fig. 1

Fig. 1 SEM image of a strip waveguide fabricated in 2 μm thick Ge-on-SOI. The inset shows the sidewall profile achieved using the optimized ICP etch recipe.

Download Full Size | PDF

3. Waveguide characterization setup

Fabry-Perot (FP) method has been employed to measure the propagation loss in which the cavity oscillations have been recorded by varying the sample temperature. Continuous-wave emission from tunable quantum cascade laser (Pranalytica, Inc.) has been launched into the waveguides using ZnSe mid-IR focusing objectives. Mid-IR wave plate and polarizer have been used to select and manipulate the polarization of the input beam (linear TE at source). The output signal has been recorded using a PbSe photodetector which is coupled with a lock-in amplifier and mechanical chopper. Mid-IR InSb camera (Xenics) has been used to observe, and precisely align, the excitation of individual waveguides before recording measurements. The schematic of the measurement setup is given in Fig. 2(a). Figure 2(b) shows the captured image of an excited waveguide mode using mid-IR camera.

 figure: Fig. 2

Fig. 2 (a) Schematic of the measurement setup. (b) The excited waveguide mode captured using the mid-IR camera.

Download Full Size | PDF

4. Results and discussion

The cavity oscillations, obtained by varying the sample temperature, have been recorded in order to calculate the waveguide propagation loss [12]. The waveguide effective refractive index ηeff for TE and TM polarizations has been obtained using a commercial mode solver. This has been used subsequently to calculate the end-facet reflectivity. One should note that our waveguide end-facets have been defined using ICP etching, however, the calculated Fresnel reflectivity is still a very good approximation due to the good quality of waveguide end-facets.

The recorded cavity oscillations for 1.85 μm wide waveguide fabricated in 2 μm thick Ge core are provided in Fig. 3(a). The maximum power Pmax and minimum power Pmin of recorded cavity oscillations is used to calculate the propagation loss αL as following

αL=ln(R1+Pmin/Pmax1Pmin/Pmax)
where L is the cavity length, which is 5 mm in our case, and R is the Fresnel’s reflectivity of waveguide facets calculated using
R=(η0ηeffη0+ηeff)2

 figure: Fig. 3

Fig. 3 (a) The cavity oscillations recorded for 1.85 μm wide waveguide in 2 μm thick Ge core. (b) Propagation loss of waveguides calculated using the recorded oscillations (dotted lines are a linear fit to the data only for illustration). The waveguides have been fabricated in 0.85 and 2 μm thick Ge core.

Download Full Size | PDF

As stated previously, we have employed commercial mode solver to determine waveguide effective refractive index ηeff.

The propagation loss is provided in Fig. 3(b) for three different samples, each containing waveguides of varying widths. It has been observed that the propagation loss is decreasing with increasing the waveguide width for both the Ge core thicknesses. This is attributed to the decrease in light scattering which is caused by waveguide sidewalls. In order to understand this decrease in scattering, 1/e field width of the waveguide mode (TE00) has been calculated using a commercial software, normalized to its respective waveguide width, and plotted in Figs. 4(a) and 4(b) for 0.85 and 2 μm thick Ge cores, respectively. It is observed that the increasing waveguide width decreases the normalized 1/e field width which results in a lower side-wall scattering.

 figure: Fig. 4

Fig. 4 The calculated 1/e width of the waveguide mode (TE00), normalized to its waveguide width, for (a) 0.85 μm thick Ge core, and (b) 2 μm thick Ge core.

Download Full Size | PDF

It has been observed that the wide structures, i.e., 9 μm wide waveguide on sample 1, and 4 μm wide waveguide on sample 3, have a larger propagation loss. We attribute this to the multimode excitation of the waveguide, since increasing temperature causes visible loss in the FP fringes while recording oscillations for these waveguides. In addition to this, 7.5 μm wide waveguide on sample 2 has just become multimode as well. This multimode behavior has been explained by calculating ηeff of the first two guided TE modes for 0.85 and 2 μm thick Ge cores, as shown in Figs. 5(a) and 5(b), respectively. The small index difference between the first two guided modes can cause a multimode excitation in the wider waveguides at elevated temperatures, and this is consistent for both TE and TM polarizations.

 figure: Fig. 5

Fig. 5 The computed ηeff of the first two guided TE modes in (a) 0.85 μm, and (b) 2 μm thick Ge cores.

Download Full Size | PDF

Finally, the transmission measurements have been performed for wavelengths up to 3.82 μm, as shown in Fig. 6. No absorption has been observed in the underlying SiO2 buffer.

 figure: Fig. 6

Fig. 6 The measured transmission of a 4 μm wide waveguide formed in 0.85 μm thick Ge core. The transmission has been normalized with the free-space source power which is measured using neutral density filters.

Download Full Size | PDF

It has been observed that the propagation loss in our Ge-on-SOI waveguides is higher than the previously reported values for Ge-on-Si mid-IR waveguides [4, 7, 8]. In order to understand the reason for this, we have performed the cross-sectional TEM of our waveguides, as shown in Figs. 7(a) and 7(b) for 0.85 and 2 μm thick Ge cores, respectively.

 figure: Fig. 7

Fig. 7 Cross-sectional TEM images of the waveguide fabricated in (a) 0.85 μm, and (b) 2 μm thick Ge cores on SOI, respectively. The insets show the mode profiles of the sample waveguides.

Download Full Size | PDF

It is observed that the large dislocation defects have penetrated deep into the Ge core. These defects overlap with the waveguide mode and are causing scattering, thus increasing the propagation loss. The insets in Figs. 7(a) and 7(b) show the mode profiles of the sample waveguides in order to demonstrate this overlap. Secondly, different samples using the same wafer, i.e., samples 1 and 2, as shown in Fig. 3(b), do not have consistent loss profile for the fabricated waveguides, which indicates that the epitaxial growth is not uniform for the complete wafer even though the structural inspection using SEM shows the similar waveguide surface and sidewalls for both the samples.

Our epitaxial growth has been developed initially to achieve high quality thin Ge films, however, a high temperature anneal can be performed to further improve threading dislocations density (TDD) which enables a high quality thick Ge layer. A recent demonstration in which the wafer annealing at 800 °C is performed to reduce TDD in a 2 μm thick Ge layer grown on ~3 μm thick Si on insulator has achieved the propagation loss of 7 dB/cm [9]. This shows that a simple high temperature anneal is not sufficient to reduce the propagation loss in Ge-on-SOI waveguides. Therefore, we believe that a different high temperature annealing method needs to be developed, such as rapid thermal annealing of the fabricated waveguides. In this case, the threading dislocations can be diffused out of the waveguide core and result in less scattering.

5. Conclusion

In conclusion, we have reported our work on the development of Ge-on-SOI mid-IR waveguides. A minimum loss of ~8 dB/cm at 3.682 μm wavelength has been achieved which is consistent with the earlier reported value of 7 dB/cm in 5.25–5.35 μm wavelength range in Ge-on-SOI with 3 μm thick Si layer [9]. We have identified threading dislocations in the waveguide core as the main scattering sources which are responsible for the observed propagation loss. Secondly, we believe that a different high temperature annealing method is required for Ge-on-SOI material system, as compared to that of Ge-on-Si, in order to reduce TDD and achieve low-loss waveguides. This will subsequently enable the development of Ge-on-SOI photonics.

References and links

1. K. Fradkin, A. Arie, A. Skliar, and G. Rosenman,“Tunable midinfrared source by difference frequency generation in bulk periodically poled KTiOPO4,” Appl. Phys. Lett. 74, 914–916 (1999). [CrossRef]  

2. R. A. Soref, S. J. Emelett, and W. R. Buchwald,“Silicon waveguided components for the long-wave infrared region,” J. Opt. A 8, 840–848 (2006). [CrossRef]  

3. G. Z. Mashanovich, M. M. Miloevi, M. Nedeljkovic, N. Owens, B. Xiong, E. J. Teo, and Y. Hu, “Low loss silicon waveguides for the mid-infrared,” Opt. Express 19, 7112–7119 (2011). [CrossRef]   [PubMed]  

4. A. Malik, M. Muneeb, S. Pathak, Y. Shimura, J. V. Campenhout, R. Loo, and G. Roelkens, “Germanium-on-silicon mid-infrared arrayed waveguide grating multiplexers,” IEEE Photon. Technol. Lett. 25(18), 1805–1808, (2013). [CrossRef]  

5. A. Malik, M. Muneeb, Y. Shimura, J. V. Campenhout, R. Loo, and G. Roelkens, “Germanium-on-silicon planar concave grating wavelength (de)multiplexers in the mid-infrared,” Appl. Phys. Lett. 103, 161119 (2013). [CrossRef]  

6. A. Malik, M. Muneeb, Y. Shimura, J. V. Campenhout, R. Loo, and G. Roelkens, “Germanium-on-silicon mid-infrared waveguides and Mach-Zehnder interferometers,” in Proc. of IEEE Photonics Conference 2013 (IEEE2013) pp. 104105.

7. L. Shen, N. Healy, C. Mitchell, J. Penades, M. Nedeljkovic, G. Mashanovich, and A. Peacock, “Mid-infrared all-optical modulation in low-loss germanium-on-silicon waveguides,” Opt. Lett. 40, 268–271 (2015). [CrossRef]   [PubMed]  

8. Y. Chang, V. Paeder, L. Hvozdara, J. Hartmann, and H. Herzig, “Low-loss germanium strip waveguides on silicon for the mid-infrared,” Opt. Lett. 37, 2883–2885 (2012). [CrossRef]   [PubMed]  

9. A. Malik, S. Dwivedi, L. V. Landschoot, M. Muneeb, Y. Shimura, G. Lepage, J. V. Campenhout, W. Vanherle, T. V. Opstal, R. Loo, and G. Roelkens, “Ge-on-Si and Ge-on-SOI thermo-optic phase shifters for the mid-infrared,” Opt. Express 22, 28479–28488 (2014). [CrossRef]   [PubMed]  

10. K.-W. Ang, T. Y. Liow, M. B. Yu, Q. Fang, J. Song, G. Q. Lo, and D. L. Kwong, “Low thermal budget monolithic integration of evanescent-coupled Ge-on-SOI photodetector on Si CMOS platform,” IEEE J. Sel. Top. Quantum Electron. 16, 106–113 (2010). [CrossRef]  

11. M. M. Mirza, H. Zhou, P. Velha, X. Li, K. E. Docherty, A. Samarelli, G. Ternent, and D. J. Paul, “Nanofabrication of high aspect ratio (~50:1) sub-10 nm silicon nanowires using inductively coupled plasma etching,” J. Vac. Sci. Technol. B 30, 06FF02 (2012). [CrossRef]  

12. G. Tittelbach, B. Richter, and W. Karthe, “Comparison of three transmission methods for integrated optical waveguide propagation loss measurement,” Pure Appl. Opt. 2, 683–706 (1993). [CrossRef]  

Cited By

Optica participates in Crossref's Cited-By Linking service. Citing articles from Optica Publishing Group journals and other participating publishers are listed here.

Alert me when this article is cited.


Figures (7)

Fig. 1
Fig. 1 SEM image of a strip waveguide fabricated in 2 μm thick Ge-on-SOI. The inset shows the sidewall profile achieved using the optimized ICP etch recipe.
Fig. 2
Fig. 2 (a) Schematic of the measurement setup. (b) The excited waveguide mode captured using the mid-IR camera.
Fig. 3
Fig. 3 (a) The cavity oscillations recorded for 1.85 μm wide waveguide in 2 μm thick Ge core. (b) Propagation loss of waveguides calculated using the recorded oscillations (dotted lines are a linear fit to the data only for illustration). The waveguides have been fabricated in 0.85 and 2 μm thick Ge core.
Fig. 4
Fig. 4 The calculated 1/e width of the waveguide mode (TE00), normalized to its waveguide width, for (a) 0.85 μm thick Ge core, and (b) 2 μm thick Ge core.
Fig. 5
Fig. 5 The computed ηeff of the first two guided TE modes in (a) 0.85 μm, and (b) 2 μm thick Ge cores.
Fig. 6
Fig. 6 The measured transmission of a 4 μm wide waveguide formed in 0.85 μm thick Ge core. The transmission has been normalized with the free-space source power which is measured using neutral density filters.
Fig. 7
Fig. 7 Cross-sectional TEM images of the waveguide fabricated in (a) 0.85 μm, and (b) 2 μm thick Ge cores on SOI, respectively. The insets show the mode profiles of the sample waveguides.

Equations (2)

Equations on this page are rendered with MathJax. Learn more.

α L = ln ( R 1 + P min / P max 1 P min / P max )
R = ( η 0 η eff η 0 + η eff ) 2
Select as filters


Select Topics Cancel
© Copyright 2024 | Optica Publishing Group. All rights reserved, including rights for text and data mining and training of artificial technologies or similar technologies.