Expand this Topic clickable element to expand a topic
Skip to content
Optica Publishing Group

Robust level-set-based inverse lithography

Open Access Open Access

Abstract

Level-set based inverse lithography technology (ILT) treats photomask design for microlithography as an inverse mathematical problem, interpreted with a time-dependent model, and then solved as a partial differential equation with finite difference schemes. This paper focuses on developing level-set based ILT for partially coherent systems, and upon that an expectation-orient optimization framework weighting the cost function by random process condition variables. These include defocus and aberration to enhance robustness of layout patterns against process variations. Results demonstrating the benefits of defocus-aberration-aware level-set based ILT are presented.

© 2011 Optical Society of America

1. Introduction

Optical projection lithography remains the predominant microlithography technology until the foreseeable future as shrinkage of integrated circuit device dimension outpaces introduction of shorter-exposure wavelengths and higher-numerical-aperture lenses extending to sub-0.35 k1 regime and minimum design pitches to sub-100nm. Due to the wave nature of light, as dimensions approach sizes comparable to or smaller than the wavelength of the light used in the photolithography process, the bandlimited imaging system introduces undesirable distortions and artifacts. Along the way, resolution enhancement techniques (RET) [1, 2] are essential in optical lithography, which include modified illumination schemes and optical proximity correction (OPC). The latter predistorts the mask patterns such that printed patterns are as close to the desired shapes as possible. Rule-based OPC [3, 4], in which various geometries are treated by different empirical rules, and model-based OPC, which is more complex and involves the computation of a weighted sum of pre-simulated results for simple edges and corners that are stored in a library, are two main approaches to OPC. Moving beyond model-based OPC, inverse lithography technology (ILT) is becoming a strong candidate for 32nm and below low-k1 regime.

Inverse model-based techniques invert the imaging model and attempt to directly synthesize the optimized mask pattern, and are not constrained by the topology of the original design [5]. Early works include branch and bound algorithm [6] and the “bacteria” algorithm [7] with very limited applicability due to their high computation complexity. Since the mid-1990s, iterative methods have been applied to generate binary masks [8] and phase shifting masks (PSMs) [9, 10, 11], treating the problem as an inverse problem and solving it by an optimization process. Meanwhile, Poonawala and Milanfar designed a model-based OPC system and developed a faster optimization algorithm using steepest-descent [12, 13], which has been further improved by Chan et al. using an active set method and conjugate gradient [11, 14]. Applications of ILT are also reported in pixelated mask technology [15], double exposure lithography [16], manufacturability enhancement [17] and designs specifically taking into account robustness to variations, such as focal length [18].

Level-set method [19] offers a feasible alternative to inverse lithography. It has been applied in “forward” optical lithography [20] and inverse imaging problems [21] involving obstacles [22, 23], and in nonlinear deblurring and noise removal [24]. Level set approaches for ILT has been explored in [5, 25], and in [26], Shen et al. presented systematic level-set formulations and developed finite-difference schemes to solve them with high fidelity and robustness for a coherent imaging system. It should also be noted while the above-mentioned algorithms enrich the weaponry for solving inverse lithography problems, the current performance still falls short, and is not yet fully applicable to real-world manufacturing [27, 28]. One such concern is that they are mostly applied to calculate solutions under nominal conditions, without taking process variation into account. Consequently, various efforts have been made to incorporate process conditions such as defocus and aberration variations into OPC algorithms for robust design of printed patterns [29, 30].

This paper focuses on the development of algorithms for photomask synthesis using level-set based inverse lithography problem in partially coherent systems. A statistical method is proposed to incorporate process variations into the optimization framework, which treats defocus and aberrations as random variables, taking their statistical properties into account, and develops an optimization framework which aims to minimize the pattern difference between the printed wafer upon various defocus and aberration conditions and the target pattern. A complete set of level-set formulations of the optimization problem is provided with computationally efficient solutions.

2. The Constrained level set time-dependent model formulation

2.1. Mathematical model for partially coherent systems

The projection lithography imaging process can be described as a forward model

I(x)=𝒯{U(x)},
where the boldface x denotes spatial coordinates (x,y), and 𝒯 {·} maps the input intensity function U(x) to the output intensity function I(x). Due to the lowpass nature of the optical lithography imaging system, I(x) is typically a blurred version of U(x). Suppose the desired circuit pattern is I0(x). The objective of inverse lithography is to find a predistorted input intensity function Û(x) which minimizes its distance with the desired output, i.e.,
U^(x)=argminU(x)d(I0(x),𝒯{U(x)}),
in which d(·, ·) is an appropriately defined distance metric, such as the 2 norm.

The lithography process 𝒯 {·} in Eq. (1) can be divided into two functional blocks, namely the projection optics effects (aerial image formation) and resist effects. The resist effects can be approximated using a logarithmic sigmoid function [13]

sig(U(x))=11+ea(U(x)tr),
with a being the steepness of the sigmoid and tr being the threshold.

The nonlinear nature of aerial image formation in partially coherent systems has been understood since the early development of optical coherence theory [31, 32], but the complexity of the calculations involved has limited use of the theory for actual optical design. In partially coherent imaging, the mask is illuminated by light traveling in various directions. In [33], the concept of effective source is developed to help understand the mechanism of partially coherent imaging. Radiation of partially coherent light has been shown to be an expansion of coherent modes added incoherently in the image plane. The expansion uses a basis of orthogonal functions which are eigenfunctions of the mutual coherence function [34], however, computation of the eigenfunctions is a difficult process which leads to complicated functions and expensive computation complexity in terms of both speed and memory requirement. Alternatively, the Fourier expansion model [35, 36] is applied to decompose the partially coherent imaging systems as the sum of coherent systems.

According to the Hopkins diffraction model, the light intensity distribution exposed on the wafer in partially coherent imaging is bilinear and can be described as

Iaerial(x)=U*(x1)U(x2)γ(x1x2)H*(xx1)H(xx2)dx1dx2,
where x = (x,y), x1 = (x1, y1) and x2 = (x2, y2). U(x) is the mask pattern, γ (x1x2) is the complex degree of coherence and H(x) represents the amplitude impulse response of the optical system, namely point spread function (PSF). The term γ (x1x2) is generally a complex number, whose magnitude represents the extent of optical interaction between two spatial locations x1 = (x1, y1) and x2 = (x2, y2) of the light source. It is the inverse Fourier transform of the image of the illumination shape Γ(x) in the lens pupil. Common illumination sources are shown in Fig. 1, which include conventional circular, annular, and dipole, all introducing partial coherence into illumination.

 figure: Fig. 1

Fig. 1 Common illumination sources: (a) conventional, (b) annular, and (c) dipole.

Download Full Size | PDF

If the object intensity vanishes outside a square area A defined by x ∈ [ G2, G2], for computations involved in (4), the only values of γ(x) needed are those inside the square area Aγ defined by x ∈ [−G,G]. We can expand γ(x) as a 2-D Fourier series of periodicity 2G in both the x and y directions, and therefore, γ(x) can be rewritten as

γ(x)=mΓmejω0mx,
and also
Γm=1G2Aγγ(x)ejω0mxdx,
where j=1, ω0 = π/G, m = (mx, my), mx and my being integers within the range of [−D, D], and m · x = mxx + myy. Substituting (5) into (4), the light intensity on the wafer is given by
Iaerial(x)=mΓm|U(x)*Hm(x)|2,
where
Hm(x)=H(x)ejω0mx.
Combining the aerial image formation in (7) and the logarithmic sigmoid function in (3) describing resist effects, we have the image formation equation for a partially coherent imaging system as
I(x)=sig(mΓm|U(x)*Hm(x)|2).
It is observed from (7) that the partially coherent image is equal to the superposition of coherent systems. In what follows, we will drop the arguments x whenever there is no ambiguity.

2.2. Time-dependent model formulation in partially coherent imaging

Development of the time-dependent scheme in partially coherent imaging is in principle similar to that in coherent imaging [26]. The inverse lithography problem can be treated as an obstacle reconstruction problem [22, 23], which is an inverse problem involving obstacles where the desired unknown is a region consisting of several subregions. We give U a level set description by introducing an unknown function ϕ(x), which is related to U by defining

U(x)={Uintfor{x:ϕ(x)<0}Uextfor{x:ϕ(x)>0},
where Uint = 1 and Uext = 0 if we are dealing with binary masks. Now we can define the inverse lithography problem as finding ϕ(x) such that 𝒯 (U) ≈ I0. Solving this with a least squares fit to the approximation is equivalent to seeking the minimizer of
F(U)=12|𝒯(U)I0|2.
The boundary of the subregions in U where U = Uint is governed by the zero level set of ϕ, namely, ϕ(x) = 0. If ϕ depends on both x and time t such that the evolution of the subregions in U is associated with ϕ(x, t), following the steps in [26], we arrive at the time-dependent model
ϕt=|ϕ|α(x,t),
with α (x,t) being defined as
α(x,t)=J(U)(𝒯(U)I0)=12U(II0)2=12U(sig(mΓm|U*Hm|2)I0)2=a{mΓmHm*[(I0I)I(1I)(Hm*U)]},
where J(U) is the Jacobian of 𝒯 (U) at U and ⊙ denotes element-by-element multiplication. Eq. (12) is a partial differential equation (PDE). Once ϕ and α are defined at every grid point on the Cartesian grid, Eq. (12) can be solved using finite-difference methods with first-order temporal and second-order spatial accuracy as suggested in [26]. It should be noted the inverse lithography problem is non-convex with multiple local minima. Since we use finite-difference schemes to solve the PDE, there is no guarantee of reaching the global minimum. However, ILT is an ill-posed problem and it is often not necessary to arrive at the global minimum. Any good local minimum (where goodness is defined using data-fidelity and user-defined properties) can suffice as an acceptable solution.

2.3. Aberration-aware statistical model

We have thus far assumed nominal conditions where the image always lies on the focal plane and the image wavefronts is spherical. However, in optical lithography, the emerging wavefronts from the pupil are in general aspherical [34], even if the lens surfaces are spherical. Deviation of wavefronts, also known as aberration, is studied extensively in the Nijboer-Zernike theory [37, 38, 39]. Aberration function Φ can be represented as Zernike polynomials [39],

Φ(ρ,θ)=n,mcnmRnm(ρ)cosmθ,
where ρ,θ are the polar coordinates in the exit pupil function, and cnmRnm(ρ)cosmθis a polynomial of the Zernike set with cnm being aberration coefficient. For example, one of the most widely studied aberrations, defocus [34], or the focus error f between the image plane and focal plane, can be expressed as f=c02ρ2 where c20 is the aberration coefficient for defocus. As a reasonable assumption, the coefficients are modeled as independent, normally distributed random variables with zero mean and identical non-zero variance. PSF in an ideal case where there exists no defocus and aberrations is taken as the inverse Fourier transform of a disc function [34], denoted as H0 in this paper. Aberration terms are incorporated into the PSF by multiplying an exponential term with aberration function as power in the frequency domain, i.e. [37]
(H)=(H0)×ejΦ,
where ℱ denotes Fourier transform.

To enable the computation of optimum mask patterns minimizing deviation of images from their targets not only at nominal but also over a range of aberrations, the objective function takes expectations of the difference under various aberration conditions to optimize the average performance of layouts. The optimization problem we aim to solve becomes

Uoptimal=minU{II022},
where 22 denotes the square of the 2 norm and ℰ denotes expectation. One should note this expectation-orient minimizing problem practically weights the cost function II022 by the statistical probability of aberration terms appearing over a range. Previous efforts in [18, 29, 30] developed various algorithms to solve the inverse lithography problem in Eq.(16). Yet in the framework of level-set-based ILT in partially coherent systems, a stable explicit time-dependent model can be applied,
ϕt=|ϕ|α(x,t),
in which α(x,t) is computed as
α(x,t)=12U{(II0)2}=12U{(sig(mΓm|U*Hm|2)I0)2}=a×{mΓmHm*[(I0I)I(1I)(Hm*U)]}.
One should notice that Eq. (17) takes the same form as Eq. (12) with a different computation of α(x, t), therefore Eq. (17) is also a PDE which could be solved by the proposed finite difference schemes in [26]. The computation stops after a certain number of iterations or when the value of the cost function has decreased below a certain threshold value.

3. Numerical results

We apply the inverse lithography technique outlined above to designing various circuit patterns for partially coherent systems. We use the same imaging system parameters: λ = 193nm, NA = 1.35, resolution Δx = 10nm/pixel, steepness of the sigmoid function a = 85, threshold tr = 0.3, and therefore the same PSF H0(x) with the same size as that of the target pattern which is 101 × 101 for various experiments. The optimization stops after 50 iterations. In this paper, we apply the proposed algorithms on binary masks. However, it should be noted the same framework can be readily applied to phase-shifting masks (PSMs) by applying different levels sets to corresponding phases in the PSMs. Figure. 2(a) shows the target pattern, and (b), (c) and (d) are the output patterns under circular, annular, and dipole source illuminations in Fig. 1(a), (b) and (c), respectively. Respective pattern errors are also given.

 figure: Fig. 2

Fig. 2 (a) Target pattern of size 101 × 101. (b) Output pattern under circular source, resulting in a pattern error of 45 pixels. (c) Output pattern under annular source, resulting in a pattern error of 116 pixels. (d) Output pattern under dipole source, resulting in a pattern error of 144 pixels.

Download Full Size | PDF

In Fig. 3, input pattern derived using the proposed level-set based ILT in partially coherent imaging under nominal condition with circular, annular and dipole illumination are presented. The first column denotes the input pattern, the second column aerial image and the third column the output pattern. Combining the data in Fig. 2 and Fig. 3, we can see that the input pattern developed using the proposed level-set based ILT greatly improves pattern quality in partially coherent imaging systems under commonly used illumination sources.

 figure: Fig. 3

Fig. 3 Simulation of lithographic imaging with different mask patterns computed using level-set based ILT. The first column denotes the input U(x), the second column Iaerial(x), and the third column I(x). Rows (a), (b) and (c) use the derived pattern under circular illumination, annular illumination, and dipole illumination as input, resulting in pattern errors of 5, 24, and 45 pixels respectively.

Download Full Size | PDF

Another set of simulation is given in Fig. 4 applying the proposed statistical method to target pattern in Fig. 2(a) with circular source in Fig. 1(a) as an example to test its effectiveness against aberrations. It should be noted that the optimal input mask pattern is computed by averaging the output patterns under different aberration conditions weighted by the statistical probability of the aberration coefficient. Consequently, for any specific aberration, PSF H(x) should be computed by degrading H0(x) with aberrations as in Eq. (15). For defocus aberration, conventionally, the relationship between the defocus coefficient c20 and the real image space coordinate z by paraxial approximation can be described as [39]

c20=2πλz(1(1NA2))zπNA2λ,
therefore, defocus term is incorporated into the amplitude spread function H(x) by multiplying an exponential term with power,
jc20ρ2jπzNA2λρ2=jπzNA2λ[(uλNA)2+(vλNA)2]=jπλz(u2+v2)=jπλz[(m1NΔx)2+(n1NΔx)2]=jπλzm2+n2(NΔx)2,
in which N is the image size and (u, v) and (m, n) are the frequency coordinates and normalized frequency coordinates corresponding to spatial coordinates (x,y) respectively, in the frequency domain of the nominal PSF H0(x). We first construct the optimal input mask pattern with defocus variation using the statistical method described in Section 2.3 and then compare the generated results with that of the optimal input mask pattern constructed under nominal conditions presented in U(x) of Fig. 3(a). The optimal input mask pattern with defocus variation is presented in Fig. 4(a). It should be noted that since we are not introducing large aberration parameters and small weights accompany large aberration parameters, big difference in mask patterns obtained under nominal condition and using the statistical method in Fig. 4(a) is not expected. Figure. 4(c) plots the performance of the statistical method versus optimization only under nominal conditions with a defocus range of (−90nm, 90nm). It is observed that while under nominal conditions, the optimal input mask pattern under nominal condition outperforms the input mask computed using the statistical method, which is not a surprise since the former is intended specifically for nominal conditions and the latter is not, the input mask computed with statistical variability accounted for improves pattern fidelity with focus variation, obtaining fewer pattern errors than the optimal input mask pattern computed under the nominal condition. This is because the expectation operation tends to compensate the distortion brought by different defocus conditions on the input pattern mask. In Fig. 4(b), the input mask computed with statistical identity of coma variation accounted for is presented. The Zernike polynomial for coma is given as c31(3ρ32ρ)cosθ, and coma term can be incorporated into the PSF H(x) by multiplying nominal PSF H0(x) with an exponential term with power,
jc31(3ρ32ρ)cosθ=jc31[3((uλNA)2+(vλNA)2)32(uλNA)2+(vλNA)2]cosθ=jc31[3λ3NA3(u2+v2)322λNA(u2+v2)12]cosθ=jc31[3λ3(NΔxNA)3(m2+n2)322λNΔxNA(m2+n2)12]cosθ.
Figure. 4(d) plots the performance of the statistical method versus optimization only under nominal conditions with a coma range c31 of (−0.08, 0.08). Likewise, we observe similar results in Fig. 4(d) as that in Fig. 4(c). These observations justify the proposed statistical algorithm in producing aberration-aware input mask pattern for critical structures.

 figure: Fig. 4

Fig. 4 Performances of the proposed level-set based statistical method with aberration variations. (a) focus-aware input mask pattern computed using the statistical method. (b) coma-aware input mask pattern computed using the statistical method. (c) Comparison of pixel errors under different focus errors. (d) Comparison of pixel errors under different coma.

Download Full Size | PDF

It should be noted that when two or more aberrations are present, the root mean square deformation of the combined aberration ΔΦrms=n,mcnm2 becomes a chi-square distributed random variable with degree of freedom equal to the number of Zernike polynomials in the combined aberration. Incorporating aberration terms in the ASF similarly as in Eq. (20) and Eq. (21), the proposed algorithm can produce aberration-aware input masks against this combined aberration using the proposed level-set-based statistical method.

4. Conclusion

In this paper, we investigate level-set formulations for photomask design in optical microlithography for partially coherent systems with computationally efficient solutions. The inverse lithography problem is described as a constrained time-dependent model with the form of a partial differential equation which is solved by available finite-difference schemes. The proposed level-set-based ILT enables computation of optimum mask patterns to minimize deviations of images from their targets not only at nominal but also over a range of aberrations. The statistical optimization framework proposed in this paper offers algorithmic insights of how the cost functions are weighted by statistical probability of aberration coefficients to optimize average layout performance which shows great robustness against aberrations.

Acknowledgment

This work was supported in part by the Research Grants Council of the Hong Kong Special Administrative Region, China, under Projects HKU 7139/06E, 7174/07E and 7134/08E, and by the UGC Areas of Excellence project Theory, Modeling, and Simulation of Emerging Electronics.

References and links

1. A. K.-K. Wong, Resolution Enhancement Techniques in Optical Lithography (SPIE Press, Bellingham, WA, 2001).

2. F. Schellenberg, “Resolution enhancement technology: the past, the present, and extensions for the future,” Proc. SPIE5377, 1–20 (2004).

3. O. W. Otto, J. G. Garofalo, K. K. Low, C.-M. Yuan, R. C. Henderson, C. Pierrat, R. L. Kostelak, S. Vaidya, and P. K. Vasudev, “Automated optical proximity correction: a rules-based approach,” Proc. SPIE 2197, 278–293 (1994).

4. S. Shioiri and H. Tanabe, “Fast optical proximity correction: analytical method,” Proc. SPIE 2440, 261–269 (1995).

5. L. Pang, Y. Liu, and D. Abrams, “Inverse lithography technology (ILT): a natural solution for model-based SRAF at 45nm and 32nm,” Proc. SPIE 6607, 660739 (2007).

6. Y. Liu and A. Zakhor, “Optimal binary image design for optical lithography,” Proc. SPIE 1264, 401–412 (1990).

7. Y. Liu and A. Zakhor, “Binary and phase-shifting image design for optical lithography,” Proc. SPIE 1463, 382–399 (1991).

8. S. Sherif, B. Saleh, and R. De Leone, “Binary image synthesis using mixed linear integer programming,” IEEE Trans. Image Process. 4(9), 1252–1257 (1995). [PubMed]  

9. Y. C. Pati and T. Kailath, “Phase-shifting masks for microlithography: automated design and mask requirements,” J. Opt. Soc. Am. A 11(9), 2438–2452 (1994).

10. S. H. Chan, A. K. Wong, and E. Y. Lam, “Initialization for robust inverse synthesis of phase-shifting masks in optical projection lithography,” Opt. Express 16(19), 14746–14760 (2008). [PubMed]  

11. S. H. Chan and E. Y. Lam, “Inverse image problem of designing phase shifting masks in optical lithography,” in Proceedings of IEEE International Conference on Image Processing , pp. 1832–1835 (2008).

12. A. Poonawala and P. Milanfar, “Prewarping techniques in imaging: applications in nanotechnology and biotechnology,” Proc. SPIE 5674, 114–127 (2005).

13. A. Poonawala and P. Milanfar, “Mask design for optical microlithography: an inverse imaging problem,” IEEE Trans. Image Process. 16(3), 774–788 (2007). [PubMed]  

14. S. H. Chan, A. K. Wong, and E. Y. Lam, “Inverse synthesis of phase-shifting mask for optical lithography,” in OSA Topical Meeting in Signal Recovery and Synthesis, p. SMD3 (2007).

15. V. Singh, B. Hu, K. Toh, S. Bollepalli, S. Wagner, and Y. Borodovsky, “Making a trillion pixels dance,” Proc. SPIE 6924, 69240S (2008).

16. A. Poonawala, Y. Borodovsky, and P. Milanfar, “ILT for double exposure lithography with conventional and novel materials,” Proc. SPIE 6520, 65202Q (2007).

17. N. Jia, A. K. Wong, and E. Y. Lam, “Regularization of inverse photomask synthesis to enhance manufacturability,” Proc. SPIE 7520, 752032 (2009).

18. N. Jia, A. K. Wong, and E. Y. Lam, “Robust photomask design with defocus variation using inverse synthesis,” Proc. SPIE 7140, 71401W (2008).

19. S. Osher and R. P. Fedkiw, “Level set methods: an overview and some recent results,” J. Comput. Phys. 169(2), 463–502 (2001).

20. J. A. Sethian and D. Adalsteinsson, “An overview of level set methods for etching, deposition, and lithography development,” IEEE Trans. Semicond. Manuf.10, 167–184 (1997).

21. S. Osher and N. Paragios, Geometric Level Set Methods in Imaging, Vision, and Graphics (Springer VerlagNew York, NJ, USA, 2003).

22. F. Santosa, “A level-set approach for inverse problems involving obstacles,” ESAIM Contröle Optim. Calc. Var. 1, 17–33 (1996).

23. S. Osher and F. Santosa, “Level set methods for optimization problems involving geometry and constraints I. Frequencies of a two-density inhomogeneous drum,” J. Comput. Phys. 171(1), 272–288 (2001).

24. A. Marquina and S. Osher, “Explicit algorithms for a new time dependent model based on level set motion for nonlinear deblurring and noise removal,” SIAM J. Sci. Comp. 22, 387–405 (2000).

25. L. Pang, G. Dai, T. Cecil, T. Dam, Y. Cui, P. Hu, D. Chen, K. Baik, and D. Peng, “Validation of inverse lithography technology (ILT) and its adaptive SRAF at advanced technology nodes,” Proc. SPIE6924, 69240T (2008).

26. Y. Shen, N. Wong, and E. Y. Lam, “Level-set-based inverse lithography for photomask synthesis,” Opt. Express 17(26), 23690–23701 (2009).

27. E. Y. Lam and A. K. Wong, “Computation lithography: virtual reality and virtual virtuality,” Opt. Express 17(15), 12259–12268 (2009). [PubMed]  

28. E. Y. Lam and A. K. Wong, “Nebulous hotspot and algorithm variability in computation lithography,” J. Micro/Nanolithogr. MEMS MOEMS 9(3), 033002 (2010).

29. N. Jia and E. Y. Lam, “Machine learning for inverse lithography: Using stochastic gradient descent for robust photomask synthesis,” J. Opt. 12(4), 045601 (2010).

30. Y. Shen, N. Wong, and E. Y. Lam, “Aberration-aware robust mask design with level-set-based inverse lithography,” Proc. of SPIE 7748, 77481U (2010).

31. M. Born and E. Wolf, Principles of Optics (Pergamon PressOxford, 1980).

32. J. W. Goodman, Statistical Optics (Wiley-Interscience, New York, 2000).

33. H. H. Hopkins, “On the diffraction theory of optical images,” Proc. of the Royal Soc. of London 217A(1130), 408–432 (1953).

34. A. K.-K. Wong, Optical Imaging in Projection Microlithography (SPIE Press, Bellingham, WA, 2005).

35. B. E. A. Saleh and M. Rabbani, “Simulation of partially coherent imagery in the space and frequency domains and by modal expansion,” Appl. Opt. 21(15), 2770–2777 (1982). [PubMed]  

36. X. Ma and G. R. Arce, “Pixel-based simultaneous source and mask optimization for resolution enhancement in optical lithography,” Opt. Express 17(7), 5783–5793 (2009). [PubMed]  

37. B. Nijboer, “The diffraction theory of aberrations,” Ph.D. thesis, Groningen University (1942).

38. R. J. Noll, “Zernike polynomials and atmospheric turbulence,” J. Opt. Soc. Am. A 66(3), 207–211 (1976).

39. P. Dirksen, J. Braat, A. Janssen, and A. Leeuwestein, “Aberration retrieval for high-NA optical systems using the Extended Nijboer-Zernike theory,” Proc. SPIE5754, 263 (2005).

Cited By

Optica participates in Crossref's Cited-By Linking service. Citing articles from Optica Publishing Group journals and other participating publishers are listed here.

Alert me when this article is cited.


Figures (4)

Fig. 1
Fig. 1 Common illumination sources: (a) conventional, (b) annular, and (c) dipole.
Fig. 2
Fig. 2 (a) Target pattern of size 101 × 101. (b) Output pattern under circular source, resulting in a pattern error of 45 pixels. (c) Output pattern under annular source, resulting in a pattern error of 116 pixels. (d) Output pattern under dipole source, resulting in a pattern error of 144 pixels.
Fig. 3
Fig. 3 Simulation of lithographic imaging with different mask patterns computed using level-set based ILT. The first column denotes the input U(x), the second column Iaerial(x), and the third column I(x). Rows (a), (b) and (c) use the derived pattern under circular illumination, annular illumination, and dipole illumination as input, resulting in pattern errors of 5, 24, and 45 pixels respectively.
Fig. 4
Fig. 4 Performances of the proposed level-set based statistical method with aberration variations. (a) focus-aware input mask pattern computed using the statistical method. (b) coma-aware input mask pattern computed using the statistical method. (c) Comparison of pixel errors under different focus errors. (d) Comparison of pixel errors under different coma.

Equations (21)

Equations on this page are rendered with MathJax. Learn more.

I ( x ) = 𝒯 { U ( x ) } ,
U ^ ( x ) = argmin U ( x ) d ( I 0 ( x ) , 𝒯 { U ( x ) } ) ,
sig ( U ( x ) ) = 1 1 + e a ( U ( x ) t r ) ,
I aerial ( x ) = U * ( x 1 ) U ( x 2 ) γ ( x 1 x 2 ) H * ( x x 1 ) H ( x x 2 ) d x 1 d x 2 ,
γ ( x ) = m Γ m e j ω 0 m x ,
Γ m = 1 G 2 A γ γ ( x ) e j ω 0 m x d x ,
I aerial ( x ) = m Γ m | U ( x ) * H m ( x ) | 2 ,
H m ( x ) = H ( x ) e j ω 0 m x .
I ( x ) = sig ( m Γ m | U ( x ) * H m ( x ) | 2 ) .
U ( x ) = { U int for { x : ϕ ( x ) < 0 } U ext for { x : ϕ ( x ) > 0 } ,
F ( U ) = 1 2 | 𝒯 ( U ) I 0 | 2 .
ϕ t = | ϕ | α ( x , t ) ,
α ( x , t ) = J ( U ) ( 𝒯 ( U ) I 0 ) = 1 2 U ( I I 0 ) 2 = 1 2 U ( sig ( m Γ m | U * H m | 2 ) I 0 ) 2 = a { m Γ m H m * [ ( I 0 I ) I ( 1 I ) ( H m * U ) ] } ,
Φ ( ρ , θ ) = n , m c n m R n m ( ρ ) cos m θ ,
( H ) = ( H 0 ) × e j Φ ,
U optimal = min U { I I 0 2 2 } ,
ϕ t = | ϕ | α ( x , t ) ,
α ( x , t ) = 1 2 U { ( I I 0 ) 2 } = 1 2 U { ( sig ( m Γ m | U * H m | 2 ) I 0 ) 2 } = a × { m Γ m H m * [ ( I 0 I ) I ( 1 I ) ( H m * U ) ] } .
c 2 0 = 2 π λ z ( 1 ( 1 N A 2 ) ) z π N A 2 λ ,
j c 2 0 ρ 2 j π z N A 2 λ ρ 2 = j π z N A 2 λ [ ( u λ N A ) 2 + ( v λ N A ) 2 ] = j π λ z ( u 2 + v 2 ) = j π λ z [ ( m 1 N Δ x ) 2 + ( n 1 N Δ x ) 2 ] = j π λ z m 2 + n 2 ( N Δ x ) 2 ,
j c 3 1 ( 3 ρ 3 2 ρ ) cos θ = j c 3 1 [ 3 ( ( u λ N A ) 2 + ( v λ N A ) 2 ) 3 2 ( u λ N A ) 2 + ( v λ N A ) 2 ] cos θ = j c 3 1 [ 3 λ 3 N A 3 ( u 2 + v 2 ) 3 2 2 λ N A ( u 2 + v 2 ) 1 2 ] cos θ = j c 3 1 [ 3 λ 3 ( N Δ x N A ) 3 ( m 2 + n 2 ) 3 2 2 λ N Δ x N A ( m 2 + n 2 ) 1 2 ] cos θ .
Select as filters


Select Topics Cancel
© Copyright 2024 | Optica Publishing Group. All rights reserved, including rights for text and data mining and training of artificial technologies or similar technologies.