Expand this Topic clickable element to expand a topic
Skip to content
Optica Publishing Group

Coherent scattering microscopy as an effective inspection tool for analyzing performance of phase shift mask

Open Access Open Access

Abstract

The imaging performance of a half-tone phase shift mask (PSM) has been analyzed using coherent scattering microscopy (CSM), which allows analysis of the actinic characteristics of an extreme ultraviolet (EUV) mask such as its reflectivity, diffraction efficiency, and phase information. This paper presents the 1st experimental result showing the effect of 180° phase difference between the absorber and reflector in EUV mask. This reveals that a PSM offers a 46% improvement in 1st/0th diffraction efficiency and 14% improvement in image contrast when compared to a binary intensity mask (BIM). The horizontal-vertical critical dimension (H-V CD) bias is also reduced by 1.37 nm at 22 nm line and space (L/S) patterns. Since the performance of PSM can be evaluated without a wafer patterning process, CSM is expected to be a useful inspection tool for the development of novel EUV masks.

© 2016 Optical Society of America

1. Introduction

Extreme ultraviolet lithography (EUVL) is researched for aiming to apply in 7 nm or smaller logic node processes due to delay of its insertion time [1,2], making it necessary to develop EUV masks with improved imaging performance. The necessity of creating half-tone phase shift mask (PSM) has been widely argued, and has led to the development of specific designs. Even though the imaging performance of the EUV PSM can be estimated through simulation [3,4], the EUV mask inspection tools using deep ultraviolet (DUV) or electron beams are inappropriate for evaluating the real imaging performance of a PSM. Recently, novel actinic inspection techniques have been developed for EUV masks [5–11]. and some of them have demonstrated the inspection capability of EUV PSM [12,13].

In this study, the imaging performance of a PSM is evaluated using coherent scattering microscopy (CSM) which uses a 13.5 nm wavelength EUV source. This can acquire not only a normalized image log slope (NILS), image contrast and critical dimensions (CDs), but also more detailed mask imaging performance factors such as the mask diffraction efficiency [14–17]. The range of applications is widened by developing a system for extracting phase and magnitude information separately from a reconstructed image. Thus allowing the reliability of PSM and the cause of any improved imaging performance (e.g., diffraction efficiency or a phase shift effect) to be measured. The improved imaging performance of a PSM is subsequently compared experimentally to a binary intensity mask (BIM), while the performance of CSM is validated against simulation results.

2. Experiment

Absorber stacks were fabricated on 40 bi-layers of Mo and Si multilayer mirror and a Ru capping layer. In comparison, a BIM was also prepared that consisted of a 70-nm-thick TaN absorber on top of 40 pairs of Mo/Si substrates capped with Ru layer.

The PSM absorber stack thickness was selected based on the best image contrast and minimum horizontal-vertical (H-V) CD bias by optical simulation. The resulting attenuated PSM had an absorber stack consisting of a 16.5 nm-thick TaN absorber layer and 24-nm-thick Mo phase shift layer, which exhibited a 180° phase shift and reflectivity of ~12.7%. A TaN/Mo absorber stack was deposited by RF magnetron sputtering on a Ru-capped Mo/Si multilayer (ML) substrate, as shown in Fig. 1, its structure was confirmed by transmission electron microscopy (TEM). The reflectivity of this absorber stack was measured by an EUV reflectometer and found to be reflectivity of ~13.7%, which is similar to the simulated reflectivity of ~12.7% as shown in Fig. 2.

 figure: Fig. 1

Fig. 1 TEM image of fabricated PSM.

Download Full Size | PDF

 figure: Fig. 2

Fig. 2 Calculated and measured reflectivity of the absorber region of a PSM.

Download Full Size | PDF

To evaluate the imaging performance of the PSM, line and space (L/S) patterns with 88, 100, and 128 nm, which correspond to 22, 25, and 32 nm wafer patterns, respectively in a 4x reduction system, were fabricated using inductively coupled plasma (ICP) etching with SF6/Ar chemistry. Here, the Ru capping layer worked as an etch stop layer. CSM was used to evaluate the mask performance through coherent diffraction imaging technique. CSM uses EUV light sources generated by high harmonic generation (HHG) using a femtosecond laser and its optical system is designed to emulate the EUV scanner. Data is acquired by capturing diffracted light with a charge coupled device (CCD). EUV light is incident onto the EUV mask at an angle of 6 degree, which is equivalent to a EUV scanner. In addition, pupil field is created by calculating the window size of the CCD and the distance between CCD and EUV mask.

Thus, the imaging performance of an EUV mask can be evaluated from the final reconstructed aerial image. Figure 3 shows the iterative phase-retrieval algorithm used for reconstructing mask images from a diffraction pattern. Phase retrieval was achieved using an error reduction algorithm (ERA) and hybrid input-output (HIO) algorithm based on iteration of fast Fourier transform (FFT) and inverse fast Fourier transform (IFFT) [18–21]. Aerial images under various conditions can also be provided by CSM by altering the illumination conditions of the EUV scanner. In this way, aerial images of BIM and PSM L/S patterns were reconstructed under conventional illumination condition such as numerical aperture (NA) = 0.33 and partial coherence (σ) = 0.9 in 4x reduction system.

 figure: Fig. 3

Fig. 3 Schematic of image reconstruction process using an iterative phase-retrieval algorithm.

Download Full Size | PDF

3. Results and discussion

It is well known that the improved imaging performance of a PSM results from reduced interference between adjacent patterns created by the 180° phase difference between the absorber and reflective layer [22]. In addition, improved diffraction efficiency of PSM caused by increased reflectivity of absorber layer also result in the improved imaging performance. This was verified by measuring the diffraction efficiency of the fabricated BIM and PSM using CSM, which is capable of measuring the diffraction efficiency of an EUV mask thanks to its 6° incidence angle and charge coupled device (CCD) capture system. Since CSM is based on coherent diffractive imaging (CDI), which reconstructs an image from the diffraction pattern captured by a CCD, detailed imaging performance can be measured [23,24]. We therefore investigated the 1st/0th diffraction efficiency by measuring the light diffracted from the 88, 100 and 128 nm L/S patterns. Figure 4 shows the diffraction efficiency of BIM and PSM for each pattern size, in which we see that PSM exhibits increased diffraction efficiency of all pattern sizes. Diffraction efficiency of BIM are 31, 36 and 44% in order of 88, 100 and 128 nm pattern and PSM are 45, 62 and 81%. Likewise simulation results confirmed PSM has increased diffraction efficiency compared to BIM, which is expected to result in improved imaging performance. Aerial images were subsequently analyzed to verify this improvement in imaging performance.

 figure: Fig. 4

Fig. 4 Measured diffraction efficiency from 88, 100 and 128 nm L/S patterns for BIM and PSM in the non-shadowing direction.

Download Full Size | PDF

The mask and aerial image can be reconstructed using the diffracted light captured by CCD, from which a complex index of the mask image can be obtained by retrieving the phase information using a phase retrieval algorithm. Since the phase and magnitude information can be separately extracted from this reconstructed complex index, the imaging performance of an EUV mask can be evaluated by analyzing its E-field. In addition, it is possible to verify if the absorber stack of a PSM is properly designed by analyzing the extracted phase information. Since the E-field is related to the amount of EUV energy which reaches into the wafer plane, analyzing the E-field provides crucial information for evaluating imaging performance.

The E-field was analyzed to verify the influence of the phase-shift effect on imaging performance. Figures 5(a) and 5(b) show the reconstructed mask images of a 128 nm line and space pattern of PSM and BIM, which confirm a PSM produces a sharper line pattern. A higher reflectivity at the phase-shifting absorber stack of the PSM is also verified. This result is confirmed by Figs. 5(c) and 5(d), which show that PSM has a steeper slope between the lines and spaces. This is because PSM mitigates the interference of diffracted light between adjacent patterns by adjusting the phase difference to 180° and increasing the amount of diffracted light relative to BIM.

 figure: Fig. 5

Fig. 5 Reconstructed images of (a) BIM and (b) PSM, and E-fields of (c) BIM and (d) PSM in the non-shadowing direction.

Download Full Size | PDF

Figure 6(a) shows the imaging performance of BIM and PSM in relation to the pitch size. Note that in order to compare the difference in performance between CSM and simulation results, both are presented in the one graph. The imaging performance of the PSM was analyzed from an aerial image that was emulated using the EUV scanner’s conventional illumination conditions (NA = 0.33, σ = 0.9, 4x reduction system). As a result, PSM demonstrates better imaging performance in terms of image contrast and minimized H-V CD bias. As the simulation and measurement results show a similar tendency, the feasibility of using CSM as an inspection tool for analyzing the imaging performance of PSM is verified. When NA = 0.33, PSM produces an image contrast for a 22 nm half pitch that is more than 12% better than can be achieved with a BIM, as well as better imaging performance for a 25 nm half pitch. This result confirms that the imaging performance of a PSM improves as the pattern size approaches the resolution limit. However, the discrepancy between simulation and experiment is speculated to be due to the experimental variations during the phase-shifting absorber pattern fabrication. These variations can include thickness, optical constant, pattern size, pattern sidewall angle and more.

 figure: Fig. 6

Fig. 6 Comparison of simulated and measured values of (a) image con-trast and (b) H-V CD bias for BIM and PSM with various half pitches.

Download Full Size | PDF

The H-V CD bias that is caused by oblique illumination and a shadowing effect in EUVL can be measured by CSM due to its equivalent incidence angle of the EUV scanner. As can be seen in Fig. 6(b), the PSM shows a suppressed increase in H-V CD bias with pattern shrinking, whereas there is an increase in H-V CD bias with the BIM as the half pitch decreases. In addition, the PSM results are consistent with simulation, which was not influenced by a decrease in half pitch. This H-V CD bias must result from a loss of EUV photons due to absorption at the absorber stack in the shadowing direction. The PSM therefore shows a minimized H-V CD bias due to compensation of EUV photon loss from the increased reflectivity at the phase-shifting absorber stack, as seen in Fig. 7. Since increased reflectivity at the absorber region results in a decrease in line CD in the shadowing direction, H-V CD bias can be mitigated.

 figure: Fig. 7

Fig. 7 Intensity profile of (a) BIM and (b) PSM in the shadowing and non-shadowing direction.

Download Full Size | PDF

Image contrast generally deteriorates with decreasing pitch size due to an intensification of the optical proximity effect (OPE). As shown in Fig. 6(a), this is true in the case of a BIM, but a PSM reduces the interference of diffracted light by adjusting the phase shift between adjacent patterns to 180°. There is also an increased amount of diffracted light due to the improved diffraction efficiency of PSM, which seems to result in better image contrast. Thus, when studying PSM, the phase difference between the absorber and reflective region needs to be measured to evaluate if it is properly fabricated.

As CSM uses a coherent EUV source, it offers a number of advantages for using a phase retrieval algorithm [24,25]. Because the inspection source itself is highly coherent, phase information from the reconstructed image should be consistent with the phase of the mask. This makes it possible to calculate the phase difference between the absorber and reflective region through a phase map reconstructed by CSM, which can be used to evaluate if a PSM is properly designed by verifying the phase shift effect. This is something that is difficult to measure with other inspection tools using a lens imaging system because it is impossible to separate the magnitude and phase information, whereas CSM can retrieve the phase information from iterative calculations. As a result, CSM can verify the phase shift directly, whereas other inspection tools can only evaluate performance indirectly after a wafer patterning process has been applied.

In order to confirm the phase shift effect of the PSM, the CSM was used to measure the phase map of the PSM using the hybrid input output (HIO) algorithm. The algorithm consists of the following four simple steps: (1) Fourier transform an estimate of the object, (2) replace the modulus of the computed Fourier transform with the measured Fourier modulus providing an estimate of the Fourier transform, (3) inverse Fourier transform the estimate of the Fourier transform, and (4) replace the modulus of the resulting computed image with the measured object modulus to form an updated estimate of the object. The iterations continue until the computed Fourier transform satisfies the Fourier-domain constraints or the computed image satisfies the object-domain constraints. At this point, one has found a solution, a Fourier transform pair that satisfies all the constraints in both domains. The solution is expressed as a complex index that has a phase and a magnitude, and thus this algorithm can extract a phase and a magnitude of the EUV mask.

Figures 8(a) and 8(b) show the magnitude and phase information of the reconstructed image, with Fig. 8(c) clearly showing that there is a 180° phase difference between the absorber and reflective region. A gradual increase in phase along the x-axis is expected to occur due to the phase distribution of the EUV source. It should also be noted that EUV radiation produced by high-order harmonic generation is coherently controlled using chirped femtosecond laser pulses, and so has a slightly different phase distribution due to divergence of the original beam [26–29]. It is therefore expected to have a consistent phase value in the actual EUV scanner. These results demonstrate that CSM cannot only be used for evaluating the imaging performance of a fabricated PSM, but also provide an effective phase mapping tool for research and development into PSM.

 figure: Fig. 8

Fig. 8 (a) Magnitude map, (b) phase map, and (c) phase difference of a reconstructed mask image of a 128 nm line and space pattern.

Download Full Size | PDF

4. Conclusion

An attenuated PSM was fabricated and its imaging performance was analyzed and compared with a BIM by using CSM. The image contrast and H-V CD bias obtained by CSM demonstrated that a PSM shows better imaging performance than a BIM when the pattern dimension approaches the resolution limit. The experimental results are consistent with simulation data, which confirms the potential for CSM to be used as an actinic inspection tool. The phase difference between adjacent patterns was also measured by adding a process for retrieving a phase map, with the improved imaging performance of a PSM found to be related to increased diffraction efficiency. Since CSM can measure both diffraction efficiency and phase distribution, it can provide detailed characteristics of a EUV mask. This offers many advantages for research and development, especially given that CSM can analyze the E-field and identify the cause of any improved imaging performance of PSM.

Acknowledgments

This work was supported by the Basic Science Research Program through the National Research Foundation of South Korea (NRF), as funded by the South Korean government (MSIP) (Grant No. 2011-0028570).

References and links

1. Z. J. Qi, J. Rankin, E. Narita, and M. Kagawa, “Viability of pattern shift for defect-free EUV photomasks at the 7 nm node,” Proc. SPIE 9635, 96350N (2015). [CrossRef]  

2. E. Setten, G. Schiffelers, E. Psara, D. Oorschot, N. Davydova, and J. Finders, “Imaging performance and challenges of 10nm and 7nm logic nodes with 0.33 NA EUV,” Proc. SPIE 9231, 92310B (2014).

3. S. Lee, I. Lee, J. G. Doh, J. U. Lee, S. Hong, and J. Ahn, “Improved imaging properties of thin attenuated phase shift masks for extreme ultraviolet lithography,” J. Vac. Sci. Technol. B 31(2), 021606 (2013). [CrossRef]  

4. H. D. Shin, C. Y. Jeoung, T. G. Kim, S. Lee, I. S. Park, and J. Ahn, “Effect of attenuated phase shift mask structure on extreme ultraviolet lithography,” Jpn. J. Appl. Phys. 48(6), 06FA06 (2009). [CrossRef]  

5. K. A. Goldberg and I. Mochi, “Actinic characterization of extreme ultraviolet bump-type phase defects,” J. Vac. Sci. Technol. B 29(6), 06F502 (2011). [CrossRef]  

6. K. A. Goldberg, P. Naulleau, I. Mochi, E. H. Anderson, S. B. Rekawa, C. D. Kemp, R. F. Gunion, H. S. Han, and S. Huh, “Actinic extreme ultraviolet mask inspection beyond 0.25 numerical aperture,” J. Vac. Sci. Technol. B 26(6), 2220–2224 (2008). [CrossRef]  

7. T. Harada, M. Nakasuji, M. Tada, Y. Nagata, T. Watanabe, and H. Kinoshita, “Critical dimension measurement of an extreme-ultraviolet mask utilizing coherent extreme-ultraviolet scatterometry microscope at NewSUBARU,” Jpn. J. Appl. Phys. 50(6S), 06GB03 (2011). [CrossRef]  

8. H. Kinoshita, T. Yoshizumi, M. Osugi, J. Kishimoto, T. Sugiyama, T. Uno, and T. Watanabe, “Study on critical dimension of printable phase defects using an EUV microscope,” Microelectron. Eng. 86(4–6), 505–508 (2009). [CrossRef]  

9. I. Mochi, K. A. Goldberg, and S. Huh, “Actinic imaging kand evaluation of phase structures on extreme ultraviolet lithography masks,” J. Vac. Sci. Technol. B 28(6), C6E11 (2010). [CrossRef]  

10. K. Takase, Y. Kamaji, N. Sakagami, T. Iguchi, M. Tada, Y. Yamaguchi, Y. Fukushima, T. Harada, T. Watanabe, and H. Kinoshita, “Imaging performance improvement of an extreme ultraviolet microscope,” Jpn. J. Appl. Phys. 49(6), 06GD07 (2010). [CrossRef]  

11. T. Terasawa, T. Yamane, T. Tanaka, O. Suga, and T. Tomie, “Actinic phase defect detection for extreme ultraviolet lithography mask with absorber patterns,” Jpn. J. Appl. Phys. 49(6), 06GD02 (2010). [CrossRef]  

12. P. Yan, I. Mochi, and K. Goldberg, “EUV actinic imaging tool aerial image evaluation of EUVL embedded phase shift mask performance,” Proc. SPIE 8322, 83221P (2012). [CrossRef]  

13. L. Sun, S. Raghunathan, V. Jindal, E. Gullikson, P. Magnet, I. Mochi, K. A. Goldberg, M. P. Benk, O. Kritsun, T. Wallow, D. Civay, and O. Wood, “Appilcation of phase shift focus monitor in EUVL process control,” Proc. SPIE 8679, 86790T (2013). [CrossRef]  

14. J. U. Lee, S. Hong, J. Ahn, J. Doh, and S. Jeong, “Actinic critical dimension measurement of contaminated extreme ultraviolet mask using coherent scattering microscopy,” J. Vac. Sci. Technol. B 32(3), 031601 (2014). [CrossRef]  

15. J. Doh, S. Lee, J. Lee, S. Hong, C. Y. Jeong, D. G. Lee, S. S. Kim, and J. Ahn, “Effect on critical dimension performance for carbon contamination of extreme ultraviolet mask using coherent scattering microscopy and in-situ contamination system,” Jpn. J. Appl. Phys. 51(6S), 06FB04 (2012). [CrossRef]  

16. J. Doh, J. U. Lee, J. Ahn, and S. S. Kim, “Evaluation of lithographic performance of extreme ultraviolet mask using coherent scattering microscopy,” J. Vac. Sci. Technol. B 30(6), 06F504 (2012). [CrossRef]  

17. S. Lee, J. G. Doh, J. U. Lee, I. Lee, C. Y. Jeong, D. G. Lee, S. Y. Rah, and J. Ahn, “Carbon contamination of EUV mask and its effect on CD performance,” Curr. Appl. Phys. 11(4), S107–S110 (2011). [CrossRef]  

18. J. R. Fienup, “Phase retrieval algorithms: a comparison,” Appl. Opt. 21(15), 2758–2769 (1982). [CrossRef]   [PubMed]  

19. J. Miao and H. N. Chapman, “Phase retrieval from the magnitude of the Fourier transforms of nonperiodic objects,” J. Opt. Soc. America 15(6), 1662 (1998). [CrossRef]  

20. J. Miao, T. Ishikawa, E. Anderson, and K. Hodgson, “Phase retrieval of diffraction patterns from noncrystalline samples using the oversampling method,” Phys. Rev. B 67(17), 174104 (2003). [CrossRef]  

21. H. Takajo, T. Shizuma, T. Takahashi, and S. Takahata, “Reconstruction of an object from its noisy Fourier modulus: ideal estimate of the object to be reconstructed and a method that attempts to find that estimate,” Appl. Opt. 38(26), 5568–5576 (1999). [CrossRef]   [PubMed]  

22. S. Hong, S. Jeong, J. Uk Lee, S. M. Lee, J. Kim, J. Doh, and J. Ahn, “Stochastic resist patterning simulation using attenuated PSM for EUV lithography,” Proc. SPIE 8679, 867928 (2013). [CrossRef]  

23. J. Miao, T. Ishikawa, B. Johnson, E. H. Anderson, B. Lai, and K. O. Hodgson, “High resolution 3D X-ray diffraction microscopy,” Phys. Rev. Lett. 89(8), 088303 (2002). [CrossRef]   [PubMed]  

24. R. L. Sandberg, A. Paul, D. A. Raymondson, S. Hädrich, D. M. Gaudiosi, J. Holtsnider, R. I. Tobey, O. Cohen, M. M. Murnane, H. C. Kapteyn, C. Song, J. Miao, Y. Liu, and F. Salmassi, “Lensless diffractive imaging using tabletop coherent high-harmonic soft-X-ray beams,” Phys. Rev. Lett. 99(9), 098103 (2007). [CrossRef]   [PubMed]  

25. B. Zhang, M. D. Seaberg, D. E. Adamas, D. F. Gardner, M. M. Murnane, and H. C. Kapteyn, “Coherent diffractive imaging microscope with a tabletop high harmonic EUV source,” Proc. SPIE 8681, 86810H (2013). [CrossRef]  

26. S. Kim, J. Jin, Y. J. Kim, I. Y. Park, Y. Kim, and S. W. Kim, “High-harmonic generation by resonant plasmon field enhancement,” Nature 453(7196), 757–760 (2008). [CrossRef]   [PubMed]  

27. D. G. Lee, J. H. Kim, K. H. Hong, and C. H. Nam, “Coherent control of high-order harmonics with chirped femtosecond laser pulses,” Phys. Rev. Lett. 87(24), 243902 (2001). [CrossRef]   [PubMed]  

28. M. C. Marconi and P. W. Wachulak, “Extreme ultraviolet lithography with table top lasers,” Prog. Quantum Electron. 34(4), 173–190 (2010). [CrossRef]  

29. M. I. Stockman, J. Choi, S. Kim, I. Y. Park, and S. W. Kim, “High harmonic generation by guided surface plasmon polaritons,” Proc. SPIE 7757, 77571D (2010). [CrossRef]  

Cited By

Optica participates in Crossref's Cited-By Linking service. Citing articles from Optica Publishing Group journals and other participating publishers are listed here.

Alert me when this article is cited.


Figures (8)

Fig. 1
Fig. 1 TEM image of fabricated PSM.
Fig. 2
Fig. 2 Calculated and measured reflectivity of the absorber region of a PSM.
Fig. 3
Fig. 3 Schematic of image reconstruction process using an iterative phase-retrieval algorithm.
Fig. 4
Fig. 4 Measured diffraction efficiency from 88, 100 and 128 nm L/S patterns for BIM and PSM in the non-shadowing direction.
Fig. 5
Fig. 5 Reconstructed images of (a) BIM and (b) PSM, and E-fields of (c) BIM and (d) PSM in the non-shadowing direction.
Fig. 6
Fig. 6 Comparison of simulated and measured values of (a) image con-trast and (b) H-V CD bias for BIM and PSM with various half pitches.
Fig. 7
Fig. 7 Intensity profile of (a) BIM and (b) PSM in the shadowing and non-shadowing direction.
Fig. 8
Fig. 8 (a) Magnitude map, (b) phase map, and (c) phase difference of a reconstructed mask image of a 128 nm line and space pattern.
Select as filters


Select Topics Cancel
© Copyright 2024 | Optica Publishing Group. All rights reserved, including rights for text and data mining and training of artificial technologies or similar technologies.