Expand this Topic clickable element to expand a topic
Skip to content
Optica Publishing Group

Slotted photonic crystal nanobeam cavity with an ultrahigh quality factor-to-mode volume ratio

Open Access Open Access

Abstract

We describe the design, fabrication, and characterization of a 1-dimensional silicon photonic crystal cavity with a quality factor-to-mode volume ratio greater than 107, which exceeds the highest previous values by an order of magnitude. The maximum of the electric field is outside the silicon in a void formed by a central slot. An extremely small calculated mode volume of 0.0096 (λvac/n)3 is achieved through the abrupt change of the electric field in the slot, despite which a high quality factor of 8.2 × 105 is predicted by simulation. Quality factors up to 1.4 × 105 are measured in actual devices. The observation of pronounced thermo-optic bistability is consistent with the strong confinement of light in these cavities.

© 2013 Optical Society of America

1. Introduction

Optical cavities possessing resonances with a high quality factor, and in which the light is also confined to dimensions on the order of its wavelength or less [1], are of interest for the development of various devices relevant to optical data communication [2]. Particularly important are compact, on-chip light sources, such as low-threshold lasers [35], as well as optical switches [6], high-performance filters [79], and frequency converters [10]. The extreme localization of the electric field may be utilized to enhance light matter interaction to create ultrasensitive sensors [1113], and the concomitant increase in optical forces may, in addition, enhance effects such as opto-mechanical coupling [1418]. Such devices are also particularly well suited for investigation of quantum electrodynamics effects [1,1921], where the interaction between a quantum emitter and an electromagnetic-field mode is exploited, for example, to create entangled states important for quantum information processing.

The relevant figure of merit for all of these applications is the ratio of quality factor (Q) to mode volume (V) of the resonant mode in the cavity. A high value of Q/V not only enhances the performance of such devices, but often leads to a drastic reduction in both size and energy consumption. The dimensionless effective mode volume V is defined here by Eq. (1),

V=ε(r)|E(r)|2d3rε(rmax)max[|E(rmax)|2](n(rmax)λvac)3
where rmax specifies the location of the maximum magnitude of the electric field |E|, the dielectric constant ε and the index of refraction n are both spatially dependent, and λvac is the vacuum wavelength of the light. Many implementations make use of photonic crystal structures, which when properly designed reduce the effective mode volume to roughly unity, i.e. to the scale of (λvac/n)3. One means of reducing the mode volume even further is to exploit the abrupt change in electric field at a dielectric interface, which increases the value of the denominator in Eq. (1). A vertical slot passing through a waveguide, for example, is known to substantially increase the confinement of light [22]. Importantly, the maximum of the electric field in these structures is in the low-dielectric-constant region, i.e. the “air” region, where optically active materials could be placed [23].

Designs for both 1-dimensional (1D) [2426] and 2-dimensional (2D) [27] photonic crystal cavities incorporating a slot have been proposed and, more recently, fabricated [13,28,29]. Unfortunately, the decrease in mode volume is usually accompanied by a substantial reduction in Q value, particularly in those devices where the mode volume is the smallest, apparently a consequence of the slot creating a broad range of plane wave components of the cavity mode, which in turn provide a mechanism for loss in directions perpendicular to the cavity [30,31]. Geometry optimization should circumvent this problem, as has been suggested for the design of 2D photonic crystal cavities [32]. In the case of 1D photonic crystal nanobeam cavities, replacement of the slot with a buried channel has been proposed as a means of reducing the losses [26], but structures with buried channels present significant fabrication challenges.

In this paper, we describe a small-footprint, 1D photonic crystal microcavity with a central slot. Employing extensive 3-dimensional (3D) photonic-band-structure and finite-difference time-domain (FDTD) simulations [33], we find that a high Q value (>800,000) can be achieved with a through slot while maintaining the mode volume below 0.01 (λvac/n)3. By fabricating a series of such devices, we investigate the dependence of the cavity resonance on the number of holes defining the photonic crystal structure and show that Q/V values in excess of 107 can be realized in actual devices, representing a new record for photonic crystal structures. With such strong confinement of the light, nonlinear effects are expected to be enhanced. Indeed, pronounced optical bistability in the form of thermo-optic peak dragging is observed.

2. Device design

The nanobeam photonic crystal cavity was designed using freely available software packages for frequency-domain photonic-band-structure [34] and FDTD [35] simulations. A schematic of the optimized geometry is shown in Fig. 1. The 1D photonic crystal cavity comprises a freestanding waveguide with a sequence of holes forming the Bragg mirrors on either side of a central slot. The holes have a nominal period of a=510nm, with waveguide width w=550nm, waveguide thickness h=220nm, slot width s=40nm, and slot length l=484.5nm. While the hole radius is given by r=0.365a, the five holes closest to the slot are linearly tapered in both radius and spacing to 67% of their nominal value so as to ensure matching of the mode profile between the Bragg mirrors and the slot region. In particular, the minimum hole radius and spacing at the end of the taper correspond to setting the effective evanescent mirror Bloch mode index nBl=λvac/2as (where asis the scaled value of a) equal to the effective index nwgof a simple straight waveguide with the same height and width, thus matching the impedance of these two portions of the structure [36,37]. The transition to this matching condition of course becomes gentler as the taper is made longer. A taper length of five holes was chosen so that the investigation of the dependence of the cavity resonance on the number of holes involved comparison of devices differing only in the number of non-tapered holes. The optimum length of the central slot is such that the distance between the outer edges of the first holes on either side of the slot corresponds to the effective wavelength in a slotted waveguide with the dimensions of the central portion of the device. In other words, one wavelength just fits between the first crossbars of the structure. While simulations indicate that the mode volume varies relatively slowly with slot length, achieving a high value of Q requires that the slot length and, thus, the cavity length, should not deviate from the optimum by more than 10 or 20 nm.

 figure: Fig. 1

Fig. 1 Schematic drawing of the optimized photonic crystal nanobeam cavity with a=510nm, w=550nm, h=220nm, s=40nm, and l=484.5nm. The hole radius is given byr=0.365a. The five holes on either side of the central slot are linearly tapered in spacing and radius to 67% of their nominal value. The color scale indicates the amplitude (blue and red corresponding to opposite signs) of the Ey component of the electric field of mode I (see text below) for 2D cross-sections through the center of the cavity taken from a 3D FDTD simulation.

Download Full Size | PDF

FDTD simulations of this structure using an index of refraction for silicon of nSi=3.48 predict the presence of an even mode (symmetric with respect to both the and y=0 mirror planes) at λvac=1562nm with Q of 820,000 and mode volume of 0.0096 (λvac/n)3 (or 35 attoliter at this vacuum wavelength), which we designate as mode I. Using the values published for an analogous photonic crystal nanobeam cavity without a slot as a reference [9], the introduction of the slot in our structure decreases the Q by about a factor of two, but the mode volume is 40 times smaller. As shown in Fig. 2, this TE-like cavity mode consists of primarily Ex and Ey components and little Ez component. The magnitude of the electric field peaks strongly in the slot, where the direction of the field is essentially perpendicular to the silicon-air interface. The maximum of the electric field is at the wall of the slot, dropping off toward the middle of the slot. It is therefore advantageous to make the slot as narrow as possible. We chose a width of 40 nm for ease of fabrication.

 figure: Fig. 2

Fig. 2 Cross-sections through the center of the cavity taken from a 3D FDTD simulation of the optimized nanobeam device showing the in-plane component (arrows) and the total magnitude (color scale) of the electric field of the central portion of mode I. The white lines indicate the boundary between silicon and air. The simulation indicates extreme confinement of the electric field in the slot.

Download Full Size | PDF

The optimized nanobeam geometry supports more than one mode, and the next lower frequency TE-like resonance (mode II), which has odd symmetry (antisymmetric with respect to a mirror plane at x=0), is found at a frequency corresponding to λvac=1613nm (see Fig. 3). The Q for mode II is much lower, only 2800, but the cavity has not been optimized for this mode. In fact, mode II is present over a wide range of device geometries. Its Q can be increased by significantly shortening the slot, but at the price of degrading the Q of mode I. Interestingly, for mode II, the strongly enhanced electric field in the slot is split into two maxima with a zero-electric-field node in the center of the device. In previous work describing 1D photonic crystal cavities without a slot [9], an analogous antisymmetric mode is also found.

 figure: Fig. 3

Fig. 3 Cross-sections through the center of the cavity taken from a 3D FDTD simulation of the optimized nanobeam device showing the in-plane component (arrows) and the total magnitude (color scale) of the electric field of the central portion of mode II. The white lines indicate the boundary between silicon and air. Mode II has a node of zero electric field in the middle of the slot.

Download Full Size | PDF

3. Device fabrication

A series of nanobeam photonic crystal cavities with varied dimensions and number of holes were fabricated on silicon-on-insulator (SOI) wafers with a top silicon thickness of 220 nm and a 3-μm buried oxide layer. The layout includes input and output ridge waveguides with a length of several hundred microns connected to each device, at the ends of which focusing grating couplers are located to enable local testing of the devices without end-facet polishing. The waveguides and grating-couplers are fabricated in the same process steps (except removal of buried oxide) as the device itself and are, as such, fully etched. The grating-couplers, which consist of 19 curved lines of silicon with a periodicity of 650 nm and a duty cycle of 88.5%, are designed for near-vertical coupling (10°). Sub-wavelength-sized wedges are incorporated opposite the waveguide to reduce reflections [38,39]. The entire structure was defined by means of e-beam lithography (Vistec EBPG 5200ES) using the negative resist HSQ006 spun at 6000 rpm (140-180 nm thickness). Pattern transfer into the top silicon was accomplished by inductively-coupled-plasma reactive ion etching (Oxford Plasmalab System 100 ICP) with HBr/O2 chemistry [40]. To create freestanding devices, samples were coated with the positive resist AZ6612 and exposed with broadband ultraviolet light (365-415 nm) to make rectangular openings around the optical cavities while leaving the input/output waveguides and grating couplers protected. Approximately 1.1 μm of the sacrificial buried-oxide layer under the devices was then removed using standard 7:1 buffered oxide etchant (hydrofluoric acid solution) for 15 minutes.

Design dimensions were accurately reproduced in the fabricated devices (typical deviations were <10 nm as measured by scanning electron microscopy) with nearly vertical sidewalls and low surface roughness. Most importantly, slot widths down to 40. nm could be achieved with minimal bowing of the sidewalls (Fig. 4), a difficulty often encountered when etching high-aspect ratio features under 100 nm [41].

 figure: Fig. 4

Fig. 4 Scanning electron microscopy image (30° tilt) of a freestanding photonic crystal nanobeam cavity on an SOI wafer with a 40-nm slot. The inset shows a magnified view down the slot (30° tilt). The geometry of the device corresponds to the optimized structure described in section 2. Device Design.

Download Full Size | PDF

4. Device characterization

A schematic of the experimental apparatus for characterizing the nanobeam cavities is shown in Fig. 5. Optical transmission spectra were measured by injecting infrared light from a cleaved single-mode optical fiber into the grating coupler on one side of the device and collecting the light emitted from the other grating coupler with another cleaved single-mode optical fiber, which was in turn connected to a power meter (EXFO IQ 1600). The continuous-wave infrared light source was either an EXFO IQ 2600B tunable diode laser (~2 GHz linewidth) or, for high-resolution spectra, a Photonetics Tunics PR external-cavity tunable diode laser (100 kHz linewidth). A fiber polarization controller aligned the polarization of the light with the TE design orientation of the grating couplers. For power-dependence measurements, a variable optical attenuator (VOA) (JDS Uniphase MAPA + 23) was employed immediately following the light source. Back reflections were prevented as needed by inserting a fiber optic isolator in front of the power meter, particularly when using the long-coherence-length Tunics laser. The temperature of the aluminum block on which the sample chip was mounted was measured with an integrated thermistor, controlled with a Peltier element, and kept at 20.0°C unless otherwise indicated.

 figure: Fig. 5

Fig. 5 Schematic of the experimental apparatus for characterization of the photonic crystal nanobeam cavities.

Download Full Size | PDF

A typical, as-measured, transmission spectrum is presented in Fig. 6, where the resonances for both mode I and mode II are clearly evident. Note that the transmission spectrum is a convolution of the transmission behavior of the device and the grating couplers, which have transmission losses of about 10 dB or more and a 3 dB bandwidth of roughly 25 nm, so the relative intensity of the peaks shown here is not meaningful. The wavelengths of the resonances are somewhat shorter than predicted by the simulations, presumably due to deviations in fabrication from the actual design. The structure visible on the peak associated with mode II is a result of interference from reflections between the grating couplers and between the grating couplers and portions of the device, particularly the Bragg mirrors.

 figure: Fig. 6

Fig. 6 Transmission spectrum of a photonic crystal nanobeam cavity with eight holes on either side of the central slot, where the ordinate indicates the power as detected at the power meter.

Download Full Size | PDF

The quality factor of an optical mode is defined by Q=2πν0(UP), where ν0 is the resonance frequency, U is the electromagnetic energy in the cavity and P is the rate of energy loss, i.e. the outgoing power. By fitting the resonance peaks with a Lorentzian function, Q can be determined from Q=ν0Δν, where Δν is the full width at half maximum of the resonance peak. There are several potential mechanisms for decay of the modes in the cavity, including coupling to the attached waveguides, scattering into the surrounding air, absorption, and non-linear conversion processes. If we assume that only the first two mechanisms are important, which is presumably the case at low intensities but probably not at high intensities, the dimensionless decay rate can be described by 1/Q=1/Qw+1/Qr, where 1/Qw is the rate of loss to the waveguides and 1/Qr is the decay rate for radiation to the air. Qr is roughly independent of the number of holes N making up the Bragg mirrors, whereas Qw increases exponentially with N, so one expects the Q value to saturate. Furthermore, the transmission maximum of the resonance peak should remain near unity as long as the Q value is increasing exponentially but should decrease in the saturation plateau where the peak width remains constant and the transmitted intensity is roughly Qr2/Qw2 [42,43].

Consistent with this analysis, the width of the observed optical resonances decreases (Q value increases) with increasing N, as illustrated in Fig. 7 for mode I. Here the spectra have been normalized; the transmitted intensity in fact drops with N particularly for N > 8, to values below 10−3 for N = 10, presumably because of radiative loss but perhaps also because of decreasing efficiency of coupling to the cavity. Indeed, the input and output waveguides are wide enough to support multiple modes, so the light impinging on the nanobeam cavity may not be fully mode matched. The maximum observed Q value is 1.4 × 105 measured for a 10-hole device. For devices with more than 10 holes on each side of the cavity, no resonance peaks could be detected.

 figure: Fig. 7

Fig. 7 Normalized spectra showing the resonance peak corresponding to mode I for a series of devices differing only in the total number of holes (including both tapered and non-tapered holes) on either side of the central slot. The irregularity in the peak for the six-hole device is due to interference from reflection between the grating couplers and between the grating couplers and the device structure.

Download Full Size | PDF

The length of the waveguides connecting the grating couplers to the nanobeam cavities (~370 µm for the spectra in Fig. 7) was chosen to minimize interference effects within the linewidth of the resonances. The resonance for the six-hole device is nevertheless wide enough that an irregular line shape is observed due to interference from reflection between the grating couplers and between the grating couplers and the device structure. It should also be noted at this point that, while the resonance peaks are generally reproducible, attention must be paid to environmental conditions. Past exposure to solvent or condensation of moisture can lead to shifts of the observed resonance wavelength by several nanometers as well as reduction of the measured Q, particularly for the highest Q devices. Cleaning of the sample, however, easily reverses such changes.

A comparison of the calculated Q values obtained from FDTD simulations for modes I and II and those observed in transmission measurements is presented in Fig. 8(a). Note that various slot lengths were fabricated to ensure that the optimum geometry was not missed dueto fabrication inaccuracies. Fabricated devices with up to eight holes on each side of the cavity have Q values close to those predicted by simulation. Beyond eight holes, Q is apparently limited by loss mechanisms, such as scattering due to imperfections and surface roughness in the fabricated structure. Because the resonance frequencies are largely determined by the geometry in the region of the slot, for example the separation of the Bragg mirrors, which determines the cavity length, the resonance frequency is predicted to be essentially independent of N for N ≥ 7 (Fig. 8(b)). As is evident from Fig. 7, this is indeed found to be the case. The mode volume for mode I also changes little for N ≥ 7 (Fig. 8(b)). Using these values for mode volume, a Q/Vratio of nearly 108 is predicted from simulation for mode I in devices with 10 or more holes on each side of the cavity. Values of ≥ 106 are obtained for the measured nanobeam cavities with seven or more holes, reaching 1.5 × 107 for the best 10-hole device (Fig. 8(c)).

 figure: Fig. 8

Fig. 8 Comparison of the measured and simulated behavior of the photonic crystal nanobeam cavity as a function of the number of holes on either side of the central slot. Solid lines with crosses are calculated values from 3D FDTD simulations. Open symbols are measured values from transmission spectra of two different samples with various values for the length l of the slot as noted. (a) Dependence of simulated and measured quality factor Q for modes I and II. (b) Frequencies for modes I and II and mode volume for mode I from simulation. (c) Values of Q/Vfor mode I inferred from (a) and (b).

Download Full Size | PDF

While the Q factor can be determined directly from the spectral linewidth, the extremely small mode volume in these devices can only be indirectly inferred. One effect evincing strong confinement of the light is the observation of thermal-optical bistability [4450] at remarkably low intensities. The high Q/V ratio greatly enhances the power density circulating in the cavity and leads to partial absorption of the light, which in turn produces a temperature increase. The temperature-dependent refractive index of silicon then causes a negative shift of the resonance frequency. During acquisition of a transmission spectrum, when the laser is swept from shorter to longer wavelength, the absorption of optical power therefore leads to movement and apparent broadening of the resonance in the direction of the scan, also known as peak dragging. An example is shown in Fig. 9. This effect is so pronounced in the nanobeam cavities, extra care had to be taken to operate at intensities well below the peak-dragging regime in order to collect the transmission data described above.

 figure: Fig. 9

Fig. 9 Normalized transmission spectra of a photonic crystal nanobeam cavity with eight holes on either side of the central slot at two different input intensities, one sufficient to cause dragging of the resonant peak (blue) and one not (red). The spectra were recorded scanning from short wavelength to long wavelength. The power incident on the device after taking into account losses from the grating coupler and coupling waveguide is estimated to be 0.50 μW and 18 μW for the undragged and dragged spectrum, respectively.

Download Full Size | PDF

Using temporal coupled-mode theory, one can show [42] that, in the absence of the optical bistability, the transmission spectrum of a filter with losses such as the photonic crystal nanobeam cavity should be well described by the Lorentzian function given by Eq. (2),

T=14Qw2(νν0ν0)2+14Q2
where T is the transmission through the cavity as a function of the frequency ν, ν0 is the resonance frequency, and Q and Qw are as defined earlier. Assuming that the physical process underlying the optical bistability leads to a shift in resonance frequency that depends linearly on the energy circulating in the cavity, and using the fact that the power in the output waveguide (Pout) should be proportional to the energy in the cavity, the resonance frequency in the presence of the bistablity becomes ν0PoutPbΔν, where Δν is the linewidth and Pb is the characteristic power of the bistability, namely the output power when the resonance has been shifted by its linewidth. Using the fact that Q=ν0Δν, the expression for the transmission under the influence of the bistability takes the form of Eq. (3),
T=(Q/Qw)21+4(x+PoutPb)2
where x=(νν0)/Δν is the relative frequency with respect to the unperturbed resonance frequency ν0 normalized to the linewidth Δν. Since T=Pout/Pin, Eq. (3) represents a cubic equation in Tfor a given value of Pin. The result is a distorted Lorentzian line shape, where the degree of distortion depends linearly on the energy in the cavity, as illustrated in Fig. 10(a) [46,47]. The maximum transmission occurs at x=Pout/Pb. The line shape actually observed is hysteretic, following, for example for the blue curve, one of the two dashed vertical lines depending on which direction the spectrum is scanned.

 figure: Fig. 10

Fig. 10 (a) Distortion of the line shape of resonant peaks as described by Eq. (3). When PinPb, the peak is Lorentzian (red curve). For higher powers, the peak is distorted toward lower frequency and exhibits hysteretic behavior (vertical dashed lines) depending on the scan direction. (b) Shift of the observed peak maximum for mode I when scanning from short wavelength to long wavelength as a function of the power Pout leaving the cavity through the output waveguide for a device with eight holes (red) on either side of the central slot and another with nine holes (blue and inset).

Download Full Size | PDF

Figure 10(b) shows the measured shift of the thermally dragged peak maximum of mode I when scanning from high frequency to low frequency for two devices, one with eight holes on either side of the cavity and one with nine (both from the sample with a slot length of about 484 nm). The nearly linear dependence of the shift is consistent with Eq. (3) and suggests that the underlying physical process is indeed primarily linear. The large circulating intensity in the cavity may enhance nonlinear processes, such as two-photon and free-carrier absorption as well plasma and Kerr dispersion, as has been analyzed for other photonic crystal cavity structures [48,50]. As in those cases, the net result for the photonic crystal nanobeam cavity nevertheless appears to be dominated by thermal dispersion. The effect is more pronounced in the nine-hole device as compared to the eight-hole device presumably due to the added confinement producing enhanced absorption.

By comparing the observed peak power of the optical resonance at low intensities to the power detected through a test structure consisting of only grating couplers connected by a waveguide, we can determine the peak transmission Tmax=(Q/Qw)2, which is approximately 0.12 and 0.0018 for the eight-hole and nine-hole device, respectively. Combining these values with the slope of the linear fits in Fig. 10(b) (1.20 × 1016 J−1 and 1.19 × 1017 J−1) and the linewidths as measured at low laser power in this particular experiment (4.25 GHz and 4.55 GHz), we estimate the energy in the cavity required to shift the resonance by its linewidth to be 78 aJ and 63 aJ, or 600 and 480 photons, for the eight-hole and nine-hole device, respectively. Alternatively, the bistability can be described in terms of the power actually entering the cavity when the resonance is shifted by its linewidth. In the case of the eight-hole device, we calculate this value using the transmission data to be 1.7 µW, of which 79% is not coupled out to the waveguides but goes to intracavity losses. For the nine-hole device, we estimate 1.8 µW enters the cavity, of which 98% goes to intracavity losses. These power levels are between one and two orders of magnitude lower than are required for a comparable shift in other photonic crystal systems [4850].

The physical model behind Eq. (3) presumes that a constant fraction of the energy in the cavity is being lost to absorption, thus heating the device. Because of the temperature dependence of the refractive index of the materials, the resonances shift to lower frequency. That such a low power level is required is consistent not only with a high Q/V ratio but also the limited thermal conductivity associated with the narrow geometry of the freestanding nanobeam. We can estimate the magnitude of the temperature increase by measuring the position of the resonance at low intensity, where there is no peak dragging, as a function of sample temperature. The results are shown in Fig. 11 and are for the same two devicesmeasured in Fig. 10(b). Linear fits give slopes of −7.6 GHz/K and −7.0 GHz/K for the eight- and nine-hole device, respectively.

 figure: Fig. 11

Fig. 11 Thermo-optic shift of the resonance wavelength of a photonic crystal nanobeam device with eight holes (red) on either side of the central slot and another with nine holes (blue).

Download Full Size | PDF

If we make the simplifying assumption that the temperature is uniform throughout the system during peak dragging, which is probably not the case, the shifts plotted in Fig. 10(b) correspond to a temperature increase of ≤ 4 K. The temperature increase is, however, most probably localized in those portions of the silicon where the electric field is greatest. In the case of higher input powers, where the resonance shift due to peak dragging can approach 3 nm (> 300 GHz), it is likely that some portions of the structure are being heated well beyond the value of ~50 K one would estimate for uniform heating.

From the measured temperature dependence of the resonance frequency, we can also calculate the portion of the electromagnetic energy confined to the silicon, providing a check of our thermal analysis. For small perturbations Δni of the refractive index ni of material i in a given structure, the shift in frequency is given by Eq. (4),

νν0ν0iΔniniσi
where σi is the confinement factor, i.e. the fraction of the electromagnetic energy in the perturbed region, as given by Eq. (5) [51].
σi=iεi(r)|E(r)|2d3rε(r)|E(r)|2d3r
Using the known thermo-optic coefficients dn/dT for silicon (1.86 × 10−4 K−1) and air (−9.4 × 10−7 K−1), and assuming that thermal expansion can be neglected (the thermal expansion coefficient for silicon is 2.5 × 10−6 K−1), we find that σSi=0.72 for the eight-hole device and σSi=0.68 for the nine-hole device. The value from FDTD simulation for both eight- and nine-hole devices is σSi=0.78, which is in rough agreement with the measured values. The deviation may be due to effects such as convective cooling of the somewhat thermally isolated nanobeam structure, thus leading to a slight underestimate of the thermal dispersion as determined from our measurements.

It should be noted that the thermal dispersion is dominated by the behavior of the silicon portion of the device, as that is where the bulk of the electric field energy is confined, and silicon has a much higher thermo-optic coefficient than air. However, the maximum magnitude of the electric field is located in the slot. The pronounced thermo-optical bistability observed is a clear indication of strong confinement of the light, and as such is consistent with a small mode volume, but it does not directly probe the maximum of the electric field.

5. Conclusion

We have demonstrated that high-Q silicon nanobeam photonic crystal cavities can be produced where the electric field is maximized outside the silicon in a void formed by a central slot. Despite the abrupt jump in electric field at the slot walls, an optimized geometry yields a Q of 8.2 × 105 in simulations and 1.4 × 105 in fabricated devices. Observation of significant thermo-optic peak dragging is consistent with the extremely small mode volume 0.0096 (λvac/n)3 and the corresponding Q/V ratio exceeding 107 for measured devices. To our knowledge, this Q/V ratio exceeds the highest values previously achieved in both 1D and 2D photonic crystal structures by an order of magnitude [9,29].

The presence of a high circulating intensity in the cavities makes them excellent candidates for implementation of device concepts exploiting both linear and nonlinear optical effects. The sensitivity of the resonances to environmental conditions, for example, indicates their suitability for use in sensors. Alternatively, one can intentionally introduce optically active materials into the slot, where the electric field has its maximum, and thus gain direct access to the small mode volume. If the spatial extent of the active material is sufficiently small, as in the case of a quantum dot, or forms only a thin coating on the walls of the slot, little or no adjustment to the device geometry should be necessary. If the slot is to be filled with an active material, the abrupt change in the electric field at the slot walls can still be exploited as long as the dielectric constant of the fill material is substantially lower than that of silicon (which is the case for many polymers, oxides and liquid solvents), but the geometry of the device may have to be slightly re-optimized.

Acknowledgments

We gratefully acknowledge Martin Bachmaier for setting up the computing system for the simulations, Mona Klein for assistance with the reactive ion etching, and Bert Offrein, Michel Despont and Roland Germann for their support.

References and links

1. K. J. Vahala, “Optical microcavities,” Nature 424(6950), 839–846 (2003). [CrossRef]   [PubMed]  

2. D. A. B. Miller, “Device Requirements for Optical Interconnects to Silicon Chips,” Proc. IEEE 97(7), 1166–1185 (2009). [CrossRef]  

3. S. Noda, “Applied Physics. Seeking the Ultimate Nanolaser,” Science 314(5797), 260–261 (2006). [CrossRef]   [PubMed]  

4. K. Takeda, T. Sato, A. Shinya, K. Nozaki, W. Kobayashi, H. Taniyama, M. Notomi, K. Hasebe, T. Kakitsuka, and S. Matsuo, “Few-fJ/bit data transmissions using directly modulated lambda-scale embedded active region photonic-crystal lasers,” Nat. Photonics 7(7), 569–575 (2013). [CrossRef]  

5. Y. Takahashi, Y. Inui, M. Chihara, T. Asano, R. Terawaki, and S. Noda, “A micrometre-scale Raman silicon laser with a microwatt threshold,” Nature 498(7455), 470–474 (2013). [CrossRef]   [PubMed]  

6. B. Schmidt, Q. Xu, J. Shakya, S. Manipatruni, and M. Lipson, “Compact electro-optic modulator on silicon-on-insulator substrates using cavities with ultra-small modal volumes,” Opt. Express 15(6), 3140–3148 (2007). [CrossRef]   [PubMed]  

7. D. J. Ripin, K.-Y. Lim, G. S. Petrich, P. R. Villeneuve, S. Fan, E. R. Thoen, J. D. Joannopoulos, E. P. Ippen, and L. A. Kolodziejski, “One-dimensional photonic bandgap microcavities for strong optical confinement in GaAs and GaAs/AlxOy semiconductor waveguides,” J. Lightwave Technol. 17(11), 2152–2160 (1999). [CrossRef]  

8. A. R. M. Zain, M. Gnan, H. M. H. Chong, M. Sorel, and R. M. De La Rue, “Tapered Photonic Crystal Microcavities Embedded in Photonic Wire Waveguides With Large Resonance Quality-Factor and High Transmission,” IEEE Photon. Technol. Lett. 20(1), 6–8 (2008). [CrossRef]  

9. P. B. Deotare, M. W. McCutcheon, I. W. Frank, M. Khan, and M. Lončar, “High quality factor photonic crystal nanobeam cavities,” Appl. Phys. Lett. 94(12), 121106 (2009). [CrossRef]  

10. M. Galli, D. Gerace, K. Welna, T. F. Krauss, L. O’Faolain, G. Guizzetti, and L. C. Andreani, “Low-power continuous-wave generation of visible harmonics in silicon photonic crystal nanocavities,” Opt. Express 18(25), 26613–26624 (2010). [CrossRef]   [PubMed]  

11. M. Lončar, A. Scherer, and Y. Qiu, “Photonic crystal laser sources for chemical detection,” Appl. Phys. Lett. 82(26), 4648–4650 (2003). [CrossRef]  

12. S.-H. Kwon, T. Sünner, M. Kamp, and A. Forchel, “Optimization of photonic crystal cavity for chemical sensing,” Opt. Express 16(16), 11709–11717 (2008). [CrossRef]   [PubMed]  

13. A. Di Falco, L. O’Faolain, and T. F. Krauss, “Chemical sensing in slotted photonic crystal heterostructure cavities,” Appl. Phys. Lett. 94(6), 063503 (2009). [CrossRef]  

14. T. J. Kippenberg and K. J. Vahala, “Cavity Opto-Mechanics,” Opt. Express 15(25), 17172–17205 (2007). [CrossRef]   [PubMed]  

15. T. J. Kippenberg and K. J. Vahala, “Cavity Optomechanics: Back-Action at the Mesoscale,” Science 321(5893), 1172–1176 (2008). [CrossRef]   [PubMed]  

16. M. Eichenfield, J. Chan, R. M. Camacho, K. J. Vahala, and O. Painter, “Optomechanical crystals,” Nature 462(7269), 78–82 (2009). [CrossRef]   [PubMed]  

17. M. Davanço, J. Chan, A. H. Safavi-Naeini, O. Painter, and K. Srinivasan, “Slot-mode-coupled optomechanical crystals,” Opt. Express 20(22), 24394–24410 (2012). [CrossRef]   [PubMed]  

18. A. H. Safavi-Naeini, S. Gröblacher, J. T. Hill, J. Chan, M. Aspelmeyer, and O. Painter, “Squeezed light from a silicon micromechanical resonator,” Nature 500(7461), 185–189 (2013). [CrossRef]   [PubMed]  

19. H. Mabuchi and A. C. Doherty, “Cavity Quantum Electrodynamics: Coherence in Context,” Science 298(5597), 1372–1377 (2002). [CrossRef]   [PubMed]  

20. K. Hennessy, A. Badolato, M. Winger, D. Gerace, M. Atatüre, S. Gulde, S. Fält, E. L. Hu, and A. Imamoğlu, “Quantum nature of a strongly coupled single quantum dot-cavity system,” Nature 445(7130), 896–899 (2007). [CrossRef]   [PubMed]  

21. J. D. Thompson, T. G. Tiecke, N. P. de Leon, J. Feist, A. V. Akimov, M. Gullans, A. S. Zibrov, V. Vuletić, and M. D. Lukin, “Coupling a Single Trapped Atom to a Nanoscale Optical Cavity,” Science 340(6137), 1202–1205 (2013). [CrossRef]   [PubMed]  

22. V. R. Almeida, Q. Xu, C. A. Barrios, and M. Lipson, “Guiding and confining light in void nanostructure,” Opt. Lett. 29(11), 1209–1211 (2004). [CrossRef]   [PubMed]  

23. C. A. Barrios and M. Lipson, “Electrically driven silicon resonant light emitting device based on slot-waveguide,” Opt. Express 13(25), 10092–10101 (2005). [CrossRef]   [PubMed]  

24. J. T. Robinson, C. Manolatou, L. Chen, and M. Lipson, “Ultrasmall Mode Volumes in Dielectric Optical Microcavities,” Phys. Rev. Lett. 95(14), 143901 (2005). [CrossRef]   [PubMed]  

25. A. Gondarenko and M. Lipson, “Low modal volume dipole-like dielectric slab resonator,” Opt. Express 16(22), 17689–17694 (2008). [CrossRef]   [PubMed]  

26. P. Yu, B. Qi, X. Jiang, M. Wang, and J. Yang, “Ultrasmall-V high-Q photonic crystal nanobeam microcavities based on slot and hollow-core waveguides,” Opt. Lett. 36(8), 1314–1316 (2011). [CrossRef]   [PubMed]  

27. T. Yamamoto, M. Notomi, H. Taniyama, E. Kuramochi, Y. Yoshikawa, Y. Torii, and T. Kuga, “Design of a high-Q air-slot cavity based on a width-modulated line-defect in a photonic crystal slab,” Opt. Express 16(18), 13809–13817 (2008). [CrossRef]   [PubMed]  

28. J. D. Ryckman and S. M. Weiss, “Low mode volume slotted photonic crystal single nanobeam cavity,” Appl. Phys. Lett. 101(7), 071104 (2012). [CrossRef]  

29. J. Gao, J. F. McMillan, M.-C. Wu, J. Zheng, S. Assefa, and C. W. Wong, “Demonstration of an air-slot mode-gap confined photonic crystal slab nanocavity with ultrasmall mode volumes,” Appl. Phys. Lett. 96(5), 051123 (2010). [CrossRef]  

30. J. Vučković, M. Lončar, H. Mabuchi, and A. Scherer, “Optimization of the Q Factor in Photonic Crystal Microcavities,” IEEE J. Quantum Electron. 38(7), 850–856 (2002). [CrossRef]  

31. Y. Akahane, T. Asano, B.-S. Song, and S. Noda, “High-Q photonic nanocavity in a two-dimensional photonic crystal,” Nature 425(6961), 944–947 (2003). [CrossRef]   [PubMed]  

32. Y. Li, J. Zheng, J. Gao, J. Shu, M. S. Aras, and C. W. Wong, “Design of dispersive optomechanical coupling and cooling in ultrahigh-Q/V slot-type photonic crystal cavities,” Opt. Express 18(23), 23844–23856 (2010). [CrossRef]   [PubMed]  

33. A. Taflove and S. C. Hagness, Computational Electrodynamics: The Finite-Difference Time-Domain Method, Third Edition (Artech House, 2005).

34. S. G. Johnson and J. D. Joannopoulos, “Block-iterative frequency-domain methods for Maxwell’s equations in a planewave basis,” Opt. Express 8(3), 173–190 (2001). [CrossRef]   [PubMed]  

35. A. F. Oskooi, D. Roundy, M. Ibanescu, P. Bermel, J. D. Joannopoulos, and S. G. Johnson, “MEEP: A flexible free-software package for electromagnetic simulations by the FDTD method,” Comput. Phys. Commun. 181(3), 687–702 (2010). [CrossRef]  

36. P. Lalanne and J. P. Hugonin, “Bloch-Wave Engineering for High-Q, Small-V Microcavities,” IEEE J. Quantum Electron. 39(11), 1430–1438 (2003). [CrossRef]  

37. C. Sauvan, G. Lecamp, P. Lalanne, and J. P. Hugonin, “Modal-reflectivity enhancement by geometry tuning in Photonic Crystal microcavities,” Opt. Express 13(1), 245–255 (2005). [CrossRef]   [PubMed]  

38. R. Waldhäusl, B. Schnabel, E.-B. Kley, and A. Bräuer, “Efficient focusing polymer waveguide grating couplers,” Electron. Lett. 33(7), 623–624 (1997). [CrossRef]  

39. S. Assefa, S. Shank, W. Green, M. Khater, E. Kiewra, C. Reinholm, S. Kamlapurkar, A. Rylyakov, C. Schow, F. Horst, H. Pan, T. Topuria, P. Rice, D. M. Gill, J. Rosenberg, T. Barwicz, M. Yang, J. Proesel, J. Hofrichter, B. Offrein, X. Gu, W. Haensch, J. Ellis-Monaghan, and Y. Vlasov, “A 90nm CMOS Integrated Nano-Photonics Technology for 25 Gbps WDM Optical Communications Applications,” in Proceedings of 2012 IEEE International Electron Devices Meeting (IEDM) (Institute of Electrical and Electronics Engineers, 2012), pp. 809–811.

40. T. Wahlbrink, T. Mollenhauer, Y. M. Georgiev, W. Henschel, J. K. Efavi, H. D. B. Gottlob, M. C. Lemme, H. Kurz, J. Niehusmann, and P. Bolivar, “Highly selective etch process for silicon-on-insulator nano-devices,” Microelectron. Eng. 78-79, 212–217 (2005). [CrossRef]  

41. C. Welch, “Nanoscale Etching in Inductively Coupled Plasmas” (Oxford Instruments White Paper, 2011). http://www.isnc.cnsi.ucla.edu/pdf/Etching_Whitepaper.pdf

42. J. D. Joannopoulos, S. G. Johnson, J. N. Winn, and R. D. Meade, Photonic Crystals, Molding the Flow of Light (PrincetonUniversity Press, 2008), Chap. 10.

43. Y. Xu, Y. Li, R. K. Lee, and A. Yariv, “Scattering-theory analysis of waveguide-resonator coupling,” Phys. Rev. E Stat. Phys. Plasmas Fluids Relat. Interdiscip. Topics 62(55 Pt B), 7389–7404 (2000). [CrossRef]   [PubMed]  

44. V. B. Braginsky, M. L. Gorodetsky, and V. S. Ilchenko, “Quality-Factor and Nonlinear Properties of Optical Whsipering-Gallery Modes,” Phys. Lett. A 137(7-8), 393–397 (1989). [CrossRef]  

45. V. S. Il’chenko and M. L. Gorodetskii, “Thermal Nonlinear Effects in Optical Whispering Gallery Microresonators,” Laser Phys. 2, 1004–1009 (1992).

46. T. Carmon, L. Yang, and K. J. Vahala, “Dynamical thermal behavior and thermal self-stability of microcavities,” Opt. Express 12(20), 4742–4750 (2004). [CrossRef]   [PubMed]  

47. H. Rokhsari, S. M. Spillane, and K. J. Vahala, “Loss characterization in microcavities using the thermal bistability effect,” Appl. Phys. Lett. 85(15), 3029–3031 (2004). [CrossRef]  

48. P. E. Barclay, K. Srinivasan, and O. Painter, “Nonlinear response of silicon photonic crystal microresonators excited via an integrated waveguide and fiber taper,” Opt. Express 13(3), 801–820 (2005). [CrossRef]   [PubMed]  

49. M. Notomi, A. Shinya, S. Mitsugi, G. Kira, E. Kuramochi, and T. Tanabe, “Optical bistable switching action of Si high-Q photonic-crystal nanocavities,” Opt. Express 13(7), 2678–2687 (2005). [CrossRef]   [PubMed]  

50. T. Uesugi, B.-S. Song, T. Asano, and S. Noda, “Investigation of optical nonlinearities in an ultra-high-Q Si nanocavity in a two-dimensional photonic crystal slab,” Opt. Express 14(1), 377–386 (2006). [CrossRef]   [PubMed]  

51. J. D. Joannopoulos, S. G. Johnson, J. N. Winn, and R. D. Meade, Photonic Crystals, Molding the Flow of Light (PrincetonUniversity Press, 2008), Chap. 2.

Cited By

Optica participates in Crossref's Cited-By Linking service. Citing articles from Optica Publishing Group journals and other participating publishers are listed here.

Alert me when this article is cited.


Figures (11)

Fig. 1
Fig. 1 Schematic drawing of the optimized photonic crystal nanobeam cavity with a = 510 nm, w = 550 nm, h = 220 nm, s = 40 nm, and l = 484.5 nm. The hole radius is given by r = 0.365 a . The five holes on either side of the central slot are linearly tapered in spacing and radius to 67% of their nominal value. The color scale indicates the amplitude (blue and red corresponding to opposite signs) of the E y component of the electric field of mode I (see text below) for 2D cross-sections through the center of the cavity taken from a 3D FDTD simulation.
Fig. 2
Fig. 2 Cross-sections through the center of the cavity taken from a 3D FDTD simulation of the optimized nanobeam device showing the in-plane component (arrows) and the total magnitude (color scale) of the electric field of the central portion of mode I. The white lines indicate the boundary between silicon and air. The simulation indicates extreme confinement of the electric field in the slot.
Fig. 3
Fig. 3 Cross-sections through the center of the cavity taken from a 3D FDTD simulation of the optimized nanobeam device showing the in-plane component (arrows) and the total magnitude (color scale) of the electric field of the central portion of mode II. The white lines indicate the boundary between silicon and air. Mode II has a node of zero electric field in the middle of the slot.
Fig. 4
Fig. 4 Scanning electron microscopy image (30° tilt) of a freestanding photonic crystal nanobeam cavity on an SOI wafer with a 40-nm slot. The inset shows a magnified view down the slot (30° tilt). The geometry of the device corresponds to the optimized structure described in section 2. Device Design.
Fig. 5
Fig. 5 Schematic of the experimental apparatus for characterization of the photonic crystal nanobeam cavities.
Fig. 6
Fig. 6 Transmission spectrum of a photonic crystal nanobeam cavity with eight holes on either side of the central slot, where the ordinate indicates the power as detected at the power meter.
Fig. 7
Fig. 7 Normalized spectra showing the resonance peak corresponding to mode I for a series of devices differing only in the total number of holes (including both tapered and non-tapered holes) on either side of the central slot. The irregularity in the peak for the six-hole device is due to interference from reflection between the grating couplers and between the grating couplers and the device structure.
Fig. 8
Fig. 8 Comparison of the measured and simulated behavior of the photonic crystal nanobeam cavity as a function of the number of holes on either side of the central slot. Solid lines with crosses are calculated values from 3D FDTD simulations. Open symbols are measured values from transmission spectra of two different samples with various values for the length l of the slot as noted. (a) Dependence of simulated and measured quality factor Q for modes I and II. (b) Frequencies for modes I and II and mode volume for mode I from simulation. (c) Values of Q / V for mode I inferred from (a) and (b).
Fig. 9
Fig. 9 Normalized transmission spectra of a photonic crystal nanobeam cavity with eight holes on either side of the central slot at two different input intensities, one sufficient to cause dragging of the resonant peak (blue) and one not (red). The spectra were recorded scanning from short wavelength to long wavelength. The power incident on the device after taking into account losses from the grating coupler and coupling waveguide is estimated to be 0.50 μW and 18 μW for the undragged and dragged spectrum, respectively.
Fig. 10
Fig. 10 (a) Distortion of the line shape of resonant peaks as described by Eq. (3). When P i n P b , the peak is Lorentzian (red curve). For higher powers, the peak is distorted toward lower frequency and exhibits hysteretic behavior (vertical dashed lines) depending on the scan direction. (b) Shift of the observed peak maximum for mode I when scanning from short wavelength to long wavelength as a function of the power P o u t leaving the cavity through the output waveguide for a device with eight holes (red) on either side of the central slot and another with nine holes (blue and inset).
Fig. 11
Fig. 11 Thermo-optic shift of the resonance wavelength of a photonic crystal nanobeam device with eight holes (red) on either side of the central slot and another with nine holes (blue).

Equations (5)

Equations on this page are rendered with MathJax. Learn more.

V = ε ( r ) | E ( r ) | 2 d 3 r ε ( r max ) max [ | E ( r max ) | 2 ] ( n ( r max ) λ v a c ) 3
T = 1 4 Q w 2 ( ν ν 0 ν 0 ) 2 + 1 4 Q 2
T = ( Q / Q w ) 2 1 + 4 ( x + P o u t P b ) 2
ν ν 0 ν 0 i Δ n i n i σ i
σ i = i ε i ( r ) | E ( r ) | 2 d 3 r ε ( r ) | E ( r ) | 2 d 3 r
Select as filters


Select Topics Cancel
© Copyright 2024 | Optica Publishing Group. All rights reserved, including rights for text and data mining and training of artificial technologies or similar technologies.