Expand this Topic clickable element to expand a topic
Skip to content
Optica Publishing Group

Pixel-based simultaneous source and mask optimization for resolution enhancement in optical lithography

Open Access Open Access

Abstract

Optical proximity correction (OPC) and phase-shifting mask (PSM) are resolution enhancement techniques (RET) used extensively in the semiconductor industry to improve the resolution and pattern fidelity of optical lithography. Traditional RETs, however, fix the source thus limiting the degrees of freedom during the optimization of the mask patterns. To overcome this restriction, a set of simultaneous source and mask optimization (SMO) methods have been developed recently where the resulting source and mask patterns fall well outside the realm of known design forms. This paper focuses on developing computationally efficient, pixel-based, simultaneous source mask optimization methods for both OPC and PSM designs, where the synergy is exploited in the joint optimization of source and mask patterns. The Fourier series expansion model is applied to approximate the partially coherent system as a sum of coherent systems. Cost sensitivity is used to drive the output pattern error in the descent direction. In order to influence the solution patterns to have more desirable manufacturability properties, topological constraints are added to the optimization framework. Several illustrative simulations are presented to demonstrate the effectiveness of the proposed algorithms.

©2009 Optical Society of America

1. Introduction

Due to the resolution limits of optical lithographic systems, the electronics industry has relied on resolution enhancement techniques (RET) to compensate and minimize mask distortions as they are projected onto semiconductor wafers [1]. Resolution in optical lithography obeys the Rayleigh resolution limit R=kλNA, where λ is the wavelength, NA is the numerical aperture, and k is the process constant which can be minimized through RET methods [2, 3, 4, 5]. In optical proximity correction (OPC) methods, mask amplitude patterns are modified by the addition of sub-resolution features that can pre-compensate for imaging distortions [6]. Phase-shifting mask (PSM) methods, commonly attributed to Levenson [7], induce phase shifts in the transmitted field which have a favorable constructive or destructive interference effect. Thus, a suitable modulation of both the intensity and the phase of the incident light can be used to effectively compensate for some of the resolution-limiting phenomena in optical diffraction.

Recently, a set of computationally efficient pixel-based OPC and PSM optimization algorithms based on gradient-based searches have been introduced for inverse lithography. Poonawala and Milanfar first developed the pixel-based optimization framework for binary mask inverse lithography [6]. Ma and Arce generalized this algorithm so as to admit multi-phase components having arbitrary PSM patterns [8, 9]. Both of these algorithms focused on coherent illumination systems. Recently, Davids, et al. formulated the inverse lithography problem in partially coherent scenario [10]. Ma and Arce used the sum of coherent systems (SOCS) model and average coherent approximation model to develop effective and computationally efficient binary mask design algorithms for inverse lithography under partially coherent illuminations [11, 12]. Subsequently, Ma and Arce developed a PSM design algorithm based on an SVD model under partially coherent systems, tailored for systems with small to medium partial coherence factors [13, 14].

The above mentioned optimization methods, as well as other traditional RETs, fix the source during the optimization limiting the degrees of freedom that can be optimized in the mask patterns. OPC design, for instance, is usually limited by the competing requirements of lithography optimization and has to strike a balance between image contrast and pattern length when printing dense patterns [1]. In order to overcome these limitations, a set of simultaneous source and mask optimization (SMO) methods have been developed recently, where the synergy is exploited in the joint optimization of the source and mask patterns. The optimized source and mask patterns of SMO algorithms fall well outside the realm of known design forms and lead to solutions closer to global minimums.

Several source and mask optimization algorithms have been proposed in the literature. Burkhardt, et al. introduced an algorithm to analytically predict the pupil pattern for an arbitrary periodic mask feature, where the optimized illumination depends only on stepper parameters and mask geometry [15]. Gau, et al. proposed an algorithm to optimize the source for features at many pitches [16]. Recently, Rosenbluth introduced the idea of simultaneous optimization of the source and mask [17]. Progler et al. presented an automated co-optimization algorithm of embedded phase shift mask transmission factor and illumination source [18]. Robert et al. proposed SMO algorithms to improve the process window by optimizing the mask in the frequency domain [19]. All of the methods mentioned above, however, are not pixel-based and the searching process for a suitable solution is computationally expensive.

This paper focuses on the development of computationally efficient pixel-based algorithms for jointly optimizing the source and mask patterns in partially coherent imaging systems. Algorithms for both binary and phase-shifting mask designs are discussed. This is accomplished as follows: The Fourier series expansion model is first applied to decompose the partially coherent imaging systems as the sum of coherent systems [20, 21]. Then, the simultaneous source and mask design is formulated as an optimization problem, where the cost function is the square of the l 2-norm between the desired output pattern and the output intensity. The output intensity is usually referred to as the aerial image printed on the wafer. Cost sensitivity is calculated and applied to drive the cost function in the descent direction during the optimization process. Subsequently, the computationally efficient pixel-based SMO algorithms are proposed. In order to control the complexity of the source and mask patterns, topological constraints are added to the optimization framework. It is noted that the proposed SMO algorithms are capable of designing both binary and phase-shifting masks.

The remainder of the paper is organized as follows: the Fourier series expansion model for partially coherent imaging system is discussed in Section 2. Lithography preliminaries and cost sensitivity are presented in Section 3. Topological constraints are described in Section 4 with the objective to obtain optimized source and mask patterns with better manufacturability properties. Pixel-based simultaneous source mask optimization algorithms are developed in Section 5. Simulations are illustrated in Section 6. Conclusions are provided in Section 7.

2. Fourier series expansion model of partially coherent imaging

According to the Hopkins diffraction model, the light intensity distribution exposed on the wafer in partially coherent illumination (PCI) is bilinear and described by [20]

I(r)=∫∫M*(r1)M(r2)γ(r1r2)h*(rr1)h(rr2)dr1dr2,

where r = (x,y), r1 = (x 1,y 1) and r2 = (x 2,y 2). M(r) is the mask pattern, γ(r1 - r2) is the complex degree of coherence, and h(r) represents the amplitude impulse response of the optical system. The complex degree of coherence γ(r1-r2) is generally a complex number, whose magnitude represents the extent of optical interaction between two spatial location r1 = (x3,y 1) and r2 = (x 2,y 2) of the light source [1]. The complex degree of coherence in the spatial domain is the inverse 2-D Fourier transform of the image of the illumination shape Γ(x,y) in the lens pupil. A schematic of an optical lithography system with partially coherent illumination is illustrated in Fig. 1. The light source with a wavelength of λ is placed at the focal plane of the first condenser, illuminating the mask. Common illuminations sources include dipole, quadrupole and annular shapes, all introducing partial coherence. The image of the photomask is formed by the projection optics onto the wafer [1]. The partial coherence factor σ=ab is defined as the ratio between the size of the source image and the pupil. Radiation of partially coherent light has been shown to be described as an expansion of coherent modes added incoherently in the image plane [20, 21]. In typical imaging applications, the size of the mask is much larger than the width of the complex degree of coherence γ(r).

 figure: Fig. 1.

Fig. 1. Optical lithography system with partially coherent illuminations

Download Full Size | PDF

In order to formulate the pixel-based simultaneous source mask optimization problem with partially coherent illumination, the Fourier series expansion model is discussed in the following [20]. Assume the mask is constrained in the square area A defined by x,y[D2,D2]. Thus, the proposed algorithms and simulations in this paper are only valid for an isoplanatic region in the image. According to this assumption, for the computations involved in Eq. (1), the only values of γ(r) needed are those inside the square area Aγ defined by x,y ∈ [-D,D]. Applying the 2-D Fourier series expansion, γ(r) can be rewritten as

γ(r)=mΓmexp(jω0m·r),

and

Γm=1D2Aγγ(r)exp(jω0m·r)dr,

where ω 0 = π/D, m = (mx,my), mx and my are integers, and · is the inner-product operation. Substituting Eq. (2) into Eq. (1), the light intensity on the wafer is given by

I(r)=mΓmM(r)hm(r)2,

where

hm(r)=h(r)exp(jω0m·r).

It is observed from Eq. (4) that the partially coherent image is equal to the superposition of coherent systems. Since the Fourier series expansion model is based on direct discretization of the Hopkins diffraction model, they have the same accuracy.

Two examples of the Fourier series expansion models corresponding to annular and circular illuminations are discussed as follows. For the annular illumination, the complex degree of coherence is

γ(r)=J1(2πr/2Dcu)2πr/2DcuDcu2Dcl2J1(2πr/2Dcl)2πr/2Dcl,

where r=x2+y2. The corresponding Fourier series coefficients are

Γm={4Dcu2Dcl2πD2(Dcl2Dcl2)forD/2DclmD/2Dcu0elsewhere,

where Dcl and Dcu are the coherent lengths of the inner and outer circles respectively. σinner=λ2DclNA and σouter=λ2DcuNA are the corresponding inner and outer partial coherence factors. For the circular illumination, the complex degree of coherence is

γ(r)=J1(2πr/2Dc)2πr/2Dc,

where r=x2+y2. The corresponding Fourier series coefficients are

Γm={4Dc2πD2mD/2Dc0elsewhere,

where Dc is the coherent length of the circle. σ=λ2DcNA is the corresponding partial coherence factor. The convolution kernel h(r) is defined as the Fourier transform of the circular lens aperture with cutoff frequency NA/λ [22, 23]; therefore,

h(r)=J1(2πrNA/λ)2πrNA/λ.

3. Lithography preliminaries and cost sensitivity

Let the illumination source Γ(x,y) be binary, thus, the Fourier series representation in Eq. (3) restricts its coefficient values, such that Γm = 0 or 1. Let M(x,y) = 0 or 1 be the input binary mask, and M(x,y) = -1,0 or 1 be the input phase-shifting mask. T{·,·} denotes an optical lithography system, with a partially coherent illumination. The PCI optical system is approximated by a Fourier series expansion model shown in Eq. (4). The output intensity is denoted as Z(x,y) = Tm,M(x,y)}. Given a N × N desired output pattern Ĩ(x,y), the goal of the simultaneous source mask optimization is to find the optimized Γm and M(x,y) called Γ^ m and M̂(x,y) such that

D=d(I(x,y),Ĩ(x,y))=d(T{Γm,M(x,y)},Ĩ(x,y))

is minimized, where d(·,·) is the square of the l 2 -norm criterion. The simultaneous source mask optimization problem can thus be formulated as

(Γ̂m,M̂(x,y))=argminΓm,M(x,y)d(T{Γm,M(x,y)},Ĩ(x,y)).

Following the definitions above, the following notations are used:

  1. The M N×N matrix represents the mask pattern, with entry values equal to 0 or 1 for the binary mask and -1, 0 or 1 for the phase-shifting mask. The N 2 × 1 equivalent raster scanned vector representation is denoted as m.
  2. A convolution matrix H m ∈ 𝓒N2×N2 represents the point spread function (PSF) of the imaging system, where 𝓒 is the complex domain. The equivalent two-dimensional filter of H m is h m.
  3. The desired N × N binary output pattern is denoted as Ĩ. It is the desired light distribution sought on the wafer. Its raster-scanned vector representation is denoted as ĩ.
  4. The initial source and mask patterns of the optimization are Γ˜ and M̃ = Ĩ respectively.
  5. The output intensity is the N × N image denoted as:

    I=mΓmHm{M}2.

    The equivalent raster-scanned vector is denoted as z.

  6. The optimized source and mask denoted as Γ^ and M̂ minimize the cost function, ie,

    (Γ̂,M̂)=argmindΓ,M(mΓmHm{m¯}2,Ĩ).

    Given the output intensity i¯=mΓmHm{m¯}2, the ith entry in this vector can be represented as

  7. i¯p=mΓmq=1N2hpqmm¯q2,p=1,N2,

where hpq is the (p,q)th entry of the filter. The cost function is the square of the l 2-norm of the difference between i and ĩ. Therefore,

d=F(m¯)=i¯i¯̃22=p=1N2(i¯p*i¯p)2,

where z in Eq. (16) is represented in Eq. (15).

In the following, the sensitivity of the cost function F with respect to source and mask changes will be used to guide the optimization process. The change of F with respect to the change of the source is FΓ, which can be calculated as:

FΓm=2(i¯̃i¯)THm(m¯)2

where T is the conjugate transposition. The change of F with respect to the change of the mask is FM, which can be calculated as:

FM=2Re{mΓm(Hm)T[(i¯~i¯)Hm(m¯)]},

where Re{·} denotes the real part of the argument, and the circle operator means the element by element multiplication. The combined cost sensitivity is denoted as

F=(FTΓ,FTM)T.

4. Topological constraint

In order to attain desired manufacuturability properties of the optimized source and mask patterns, some topological constraints are imposed in the optimization process [24]. Yu et al. constrained the optimized binary masks to be topological invariant by the relationships between the neighbor pixels. Some of these operations and constraints have been defined with the goal of maintaining shape topologies [25]. In order to reduce the computational complexity of the source and mask optimization algorithm, a simplified version of the topological constraints proposed by Yu et la. is introduced in this section. In the following, some modified definitions of the shape topologies are listed.

Definition 1 (Flipping-off and flipping-on operations). A pixel p can have value 0 or 1. Turning from pixel value 1 to 0, and from 0 to 1 are called flipping-off and flipping-on of that pixel. In general, if a pixel p can have value -1, 0 or 1, decreasing and increasing the pixel value are called flipping-off and flipping-on operations.

Definition 2 (Neighbor pixels). As shown in Fig. 2, the pixels x 2, x 4, x 5, x 7 are the 4-neighbors of the pixel p. The pixels x 1, x 2,…,x 8 are the 8-neighbors of p.

 figure: Fig. 2.

Fig. 2. 4-neighbors and 8-neighbors of pixel p

Download Full Size | PDF

Definition 3 (Boundary pixels). A 4- (or 8-) boundary pixel is a pixel with at least one 4- (or 8-) neighbor pixel having a different value.

Definition 4 (Singular pixels). A singular pixel is a pixel whose value is different from that of all of its 4-neighbors.

Definition 5 (Changeable pixels). A changeable pixel is a 4-boundary pixel, flipping of which does not introduce singular pixels. The set of all changeable pixels is denoted as 𝓢.

In the pixel-based simultaneous source and mask optimization approach, only the changeable pixels in the source pattern Γ and mask pattern M are considered to be flipped-on or flipped-off. This topological constraint guarantees lower complexity of the optimized source and mask patterns.

5. Pixel-based simultaneous source mask optimization algorithm

In this section, computationally efficient and effective pixel-based simultaneous source mask optimization algorithms are proposed for both binary mask and PSM design. In these optimization algorithms, mask patterns are initialized as the desired patterns, and the source patterns are initialized as the conventional partially coherent illuminations (annular or circular illuminations). Subsequently, changeable pixels on the mask and source patterns are searched and cost sensitivity is calculated to drive the cost function in the descent direction during the optimization process. The changeable pixels are flipped only when the cost function is reduced and the topological constraints are satisfied. Algorithms are terminated when no changeable pixel exists. The pixel-based simultaneous source and binary mask optimization algorithm is shown in Table 1.

The pixel-based simultaneous source and PSM optimization algorithm is similar to the above algorithm. However, the pixel values in the mask pattern can be -1, 0 or 1. Therefore, in step 6, p(xmax,ymax) is allowed to be -1, 0 or 1.

Tables Icon

Table 1. The Pixel-based Simultaneous Source and Binary Mask Optimization Algorithm

6. Simulations

The simulations of pixel-based simultaneous source and binary mask optimization are shown in Fig. 3. In these simulations, the initial mask pattern M̃ has dimension of 1035nm × 1035nm and is the same as the target output pattern. The critical dimension of the initial mask pattern is 45nm. The pixel size is assigned based on the critical dimension. Since singular pixels will increase the complexity of the optimized masks and difficult to fabricate, the pixel size should be large enough. In addition, high frequency components of the mask will be removed by the low-pass filtering effect of the lens. Therefore, small singular pixel does not contribute to the output aerial image on the wafer. Based on the above analysis, the pixel size is assigned to be 15nm × 15nm in our simulations. The convolution kernel is shown in Eq. (10) with NA = 1.25 and λ = 193nm, and assumed to vanish outside the area Ah, defined by x,y ∈ [-150nm, 150nm]. In Fig. 3, top row (from left to right) shows: the initial source pattern (σinner = 0.4 and σouter = 0.5), the initial binary mask pattern, and the corresponding output aerial image intensity. Middle row (from left to right) shows: the initial source pattern (σinner = 0.4 and σouter = 0.5), the optimized binary mask pattern without simultaneous optimization of source pattern, and the corresponding output aerial image intensity, where only the mask pattern is optimized using the algorithm in Table 1. Bottom row (from left to right) shows: the optimized source pattern, the optimized binary mask pattern, and the corresponding output intensity where mask and source patterns are simultaneously optimized. In the source and mask patterns, black and white represent 0 and 1 respectively. It is shown that optimization of the mask pattern alone reduces the output pattern error by 24%. The optimized mask contains more small assistant features, and the output pattern has gaps on the middle horizontal bar. On the other hand, the SMO algorithm reduces the output pattern error by 28%. In addition, the SMO algorithm leads to simpler optimized masks and better contrast of the output pattern. Note the significant improvement on the separation of the horizontal bars and the objects between the bars.

 figure: Fig. 3.

Fig. 3. Pixel-based simultaneous source and binary mask optimization. Top row (from left to right) shows: the initial source pattern (σinner = 0.4 and σouter = 0.5), the initial binary mask pattern (critical dimension = 45nm), and the corresponding output intensity. Middle row (from left to right) shows: the initial source pattern (σinner = 0.4 and σouter = 0.5), the optimized binary mask pattern without simultaneous optimization of source pattern, and the corresponding output intensity. Bottom row (from left to right) shows: the optimized source pattern, the optimized binary mask pattern, and the corresponding output intensity. In the source and mask patterns, black and white represent 0 and 1 respectively.

Download Full Size | PDF

The simulations of pixel-based simultaneous source and PSM optimization are shown in Fig. 4. The initial source pattern is an circular illumination with σ = 0.4. Other parameters are the same as the simulations shown in Fig. 3. In the source and mask patterns, black, gray and white represent -1, 0 and 1 respectively. It is shown that optimization of the mask pattern alone reduces the output pattern error by 65%. On the other hand, the SMO algorithm reduces the output pattern error by 71%. In addition, the SMO algorithm leads to simpler optimized masks and better fidelity of the output pattern. The performance differences between optimizing only the mask patterns and the joint optimization of source and mask patterns clearly show the advantages of the SMO algorithms. As shown in Fig. 3 and Fig. 4, the proposed SMO algorithm has been proven effective for both binary mask and PSM. It is noted that the proposed algorithms result in asymmetric structures in the optimized source and mask shapes. These asymmetric structures introduce higher degree of freedom in the optimization process and lead to small output pattern errors. In order to obtain symmetric structures, the proposed algorithms can be easily modified to just optimize the top half part of the source and mask patterns with respect to the midline. The symmetric pixels in the bottom half part are flipped in the same way.

 figure: Fig. 4.

Fig. 4. Pixel-based simultaneous source and phase-shifting mask optimization. Top row (from left to right) shows: the initial source pattern (σ = 0.4), the initial phase-shifting mask pattern (critical dimension = 45nm), and the corresponding output intensity. Middle row (from left to right) shows: the initial source pattern (σ = 0.4), the optimized PSM without simultaneous optimization of source pattern, and the corresponding output intensity. Bottom row (from left to right) shows: the optimized source pattern, the optimized phase-shifting mask pattern, and the corresponding output intensity. In the source and mask patterns, black, gray and white represent -1, 0 and 1 respectively.

Download Full Size | PDF

7. Conclusion

This paper develops computationally efficient and effective pixel-based simultaneous source mask optimization algorithms. Partially coherent illuminations are studied and modelled by Fourier series expansion model. Based on this model, the SMO algorithms are developed for both binary mask and PSM, where cost sensitivity is used to drive the cost function in the descent direction and topological constraints are applied in the optimization framework leading to desired manufacturability properties. Simulations illustrate that our approaches are effective, computationally efficient, and practical. It should be noted that the optimization framework was formulated to minimize the cost function based on the aerial image distortion. This framework can be extended easily for a thresholded version of the aerial image which would further emphasize the image contours. Further ongoing work is also being focused on minimizing the “thick mask” EM scattering effects within the optimization framework.

Acknowledgments

We wish to thank Christof Krautschik, Yan Borodovsky and the TCAD group at the Intel corporation for their comments and support.

References and links

1. A. K. Wong, Resolution enhancement techniques1 (SPIE Press, Bellingham, Washington, 2001). [CrossRef]  

2. S. A. Campbell, The science and engineering of microelectronic fabrication, 2nd ed. (Publishing House of Electronics Industry, Beijing, 2003).

3. F. Schellenberg, “Resolution enhancement technology: The past, the present, and extensions for the future, Optical Microlithography,” Proc. SPIE 5377, 1–20 (2004). [CrossRef]  

4. F. Schellenberg, Resolution enhancement techniques in optical lithography (SPIE Press, 2004).

5. L. Liebmann, S. Mansfield, A. Wong, M. Lavin, W. Leipold, and T. Dunham, “TCAD development for lithography resolution enhancement,” IBM J. Res. Dev. 45, 651–665 (2001). [CrossRef]  

6. A. Poonawala and P. Milanfar, “Fast and low-complexity mask design in optical microlithography - An inverse imaging problem,” IEEE Trans. Image Process. 16, 774–788 (2007). [CrossRef]   [PubMed]  

7. M. D. Levenson, N. S. Viswanathan, and R. A. Simpson, “Improving resolution in photolithography with a phase-shifting mask,” IEEE Trans. Electron. Devices ED-29, 1828–1836 (1982). [CrossRef]  

8. X. Ma and G. R. Arce, “Generalized inverse lithography methods for phase-shifting mask design,” in Proc. SPIE (San Jose, CA, 2007).

9. X. Ma and G. R. Arce, “Generalized inverse lithography methods for phase-shifting mask design,” Opt. Express 15, 15,066–15,079 (2007). [CrossRef]  

10. P. S. Davids and S. B. Bollepalli, “Generalized inverse problem for partially coherent projection lithography,” Proc. SPIE 6924, 69240X 2008. [CrossRef]  

11. X. Ma and G. R. Arce, “Binary mask optimization for inverse lithography with partially coherent illumination,” Proc. SPIE 7140, 71401A (2008). [CrossRef]  

12. X. Ma and G. R. Arce, “Binary mask optimization for inverse lithography with partially coherent illumination,” J. Opt. Soc. Am. A 25, 2960–2970 (2008). [CrossRef]  

13. X. Ma and G. R. Arce, “PSM design for inverse lithography using illumination with samll partial coherence factor,” in Proc. SPIE (San Jose, CA, 2009).

14. X. Ma and G. R. Arce, “PSM design for inverse lithography with partially coherent illumination,” Opt. Express 16, 20,126–20141 (2008). [CrossRef]  

15. M. Burkhardt, A. Yen, C. Progler, and G. Wells, “Illuminator design for the printing of regular contact patterns,” Microelectron. Eng. 41, 91–95 (1998). [CrossRef]  

16. T. S. Gau, R. G. Liu, C. K. Chen, C. M. Lai, F. J. Liang, and C. C. Hsia, “The customized illumination aperture filter for low k1 photolithography process,” Proc. SPIE 4000, 271–282 (2000). [CrossRef]  

17. A. E. Rosenbluth, S. Bukofsky, C. Fonseca, and M. Hibbs, “Optimum mask and source patterns to print a given shape,” J. Microlithography, Microfabrication, and Microsystems 1, 13–30 (2002). [CrossRef]  

18. C. Progler, W. Conley, B. Socha, and Y. Ham, “Layout and source dependent phase mask transmission tuning,” Proc. SPIE 5454, 315–326 (2005).

19. S. Robert, X. Shi, and L. David, “Simultaneous source mask optimization (SMO),” Proc. SPIE 5853, 180–193 (2005). [CrossRef]  

20. B. E. A. Saleh and M. Rabbani, “Simulation of partially coherent imagery in the space and frequency domains and by modal expansion,” Appl. Opt. 21, 2770–2777 (1982). [CrossRef]   [PubMed]  

21. Y. C. Pati and T. Kailath, “Phase-shifting masks for microlithography: Automated design and mask requirements,” J. Opt. Soc. Am. A 11, 2438–2452 (1994). [CrossRef]  

22. M. Born and E. Wolfe, Principles of optics (Cambridge University Press, 1999).

23. R. Wilson, Fourier Series and Optical Transform Techniques in Contemporary Optics (John Wiley and Sons, 1995).

24. P. Yu and D. Z. Pan, “TIP-OPC: a new topological invariant paradigm for pixel based optical proximity correction,” in Proc. ACM/IEEE International Conference on Computer-Aided Design (ICCAD) (2007).

25. L. Lam, S. W. Lee, and C. Y. Suen, “Thinning methodologies-a comprehensive survey,” IEEE Trans. Pattern Anal. Mach. Intell. 14, 869–885 (1992). [CrossRef]  

Cited By

Optica participates in Crossref's Cited-By Linking service. Citing articles from Optica Publishing Group journals and other participating publishers are listed here.

Alert me when this article is cited.


Figures (4)

Fig. 1.
Fig. 1. Optical lithography system with partially coherent illuminations
Fig. 2.
Fig. 2. 4-neighbors and 8-neighbors of pixel p
Fig. 3.
Fig. 3. Pixel-based simultaneous source and binary mask optimization. Top row (from left to right) shows: the initial source pattern (σinner = 0.4 and σouter = 0.5), the initial binary mask pattern (critical dimension = 45nm), and the corresponding output intensity. Middle row (from left to right) shows: the initial source pattern (σinner = 0.4 and σouter = 0.5), the optimized binary mask pattern without simultaneous optimization of source pattern, and the corresponding output intensity. Bottom row (from left to right) shows: the optimized source pattern, the optimized binary mask pattern, and the corresponding output intensity. In the source and mask patterns, black and white represent 0 and 1 respectively.
Fig. 4.
Fig. 4. Pixel-based simultaneous source and phase-shifting mask optimization. Top row (from left to right) shows: the initial source pattern (σ = 0.4), the initial phase-shifting mask pattern (critical dimension = 45nm), and the corresponding output intensity. Middle row (from left to right) shows: the initial source pattern (σ = 0.4), the optimized PSM without simultaneous optimization of source pattern, and the corresponding output intensity. Bottom row (from left to right) shows: the optimized source pattern, the optimized phase-shifting mask pattern, and the corresponding output intensity. In the source and mask patterns, black, gray and white represent -1, 0 and 1 respectively.

Tables (1)

Tables Icon

Table 1. The Pixel-based Simultaneous Source and Binary Mask Optimization Algorithm

Equations (19)

Equations on this page are rendered with MathJax. Learn more.

I ( r ) = ∫∫ M * ( r 1 ) M ( r 2 ) γ ( r 1 r 2 ) h * ( r r 1 ) h ( r r 2 ) d r 1 d r 2 ,
γ ( r ) = m Γ m exp ( j ω 0 m · r ) ,
Γ m = 1 D 2 A γ γ ( r ) exp ( j ω 0 m · r ) d r ,
I ( r ) = m Γ m M ( r ) h m ( r ) 2 ,
h m ( r ) = h ( r ) exp ( j ω 0 m · r ) .
γ ( r ) = J 1 ( 2 π r / 2 D cu ) 2 π r / 2 D cu D cu 2 D cl 2 J 1 ( 2 π r / 2 D cl ) 2 π r / 2 D cl ,
Γ m = { 4 D cu 2 D cl 2 π D 2 ( D cl 2 D cl 2 ) for D / 2 D cl m D / 2 D cu 0 elsewhere ,
γ ( r ) = J 1 ( 2 π r / 2 D c ) 2 π r / 2 D c ,
Γ m = { 4 D c 2 π D 2 m D / 2 D c 0 elsewhere ,
h ( r ) = J 1 ( 2 πrNA / λ ) 2 πrNA / λ .
D = d ( I ( x , y ) , I ̃ ( x , y ) ) = d ( T { Γ m , M ( x , y ) } , I ̃ ( x , y ) )
( Γ ̂ m , M ̂ ( x , y ) ) = arg min Γ m , M ( x , y ) d ( T { Γ m , M ( x , y ) } , I ̃ ( x , y ) ) .
I = m Γ m H m { M } 2 .
( Γ ̂ , M ̂ ) = arg min d Γ , M ( m Γ m H m { m ¯ } 2 , I ̃ ) .
i ¯ p = m Γ m q = 1 N 2 h p q m m ¯ q 2 , p = 1 , N 2 ,
d = F ( m ¯ ) = i ¯ i ¯ ̃ 2 2 = p = 1 N 2 ( i ¯ p * i ¯ p ) 2 ,
F Γ m = 2 ( i ¯ ̃ i ¯ ) T H m ( m ¯ ) 2
F M = 2 Re { m Γ m ( H m ) T [ ( i ¯ ~ i ¯ ) H m ( m ¯ ) ] } ,
F = ( F T Γ , F T M ) T .
Select as filters


Select Topics Cancel
© Copyright 2024 | Optica Publishing Group. All rights reserved, including rights for text and data mining and training of artificial technologies or similar technologies.