Expand this Topic clickable element to expand a topic
Skip to content
Optica Publishing Group

Influence of the substrate finish and thin film roughness on the optical performance of Mo/Si multilayers

Open Access Open Access

Abstract

Scattering resulting from interface imperfections critically affects the image contrast and optical throughput of multilayer coatings for 13.5nm. To investigate the scattering mechanisms, at-wavelength scattering measurements in combination with atomic force microscopy are analyzed for an in-depth characterization of the roughness properties. The different impacts of substrate finish and intrinsic thin film roughness on the scattering distribution are separated and analyzed in detail. Furthermore, a novel approach to characterize the roughness of large extreme ultraviolet substrates is presented, based on light scattering measurements at 442nm.

© 2010 Optical Society of America

1. Introduction

The progress of optical technology toward ever shorter wavelengths is accompanied by drastically increasing demands on the optical components. High throughput, image contrast, and long-term stability are still considered to be the largest challenges encountered during the transition of extreme ultraviolet (EUV) lithography from development to production [1, 2, 3]. Although theoretical reflectances of 75% at normal incidence can be obtained with multilayer coatings consisting of alternating layers of molybdenum and silicon, in practice, reflectivities over 70% are hardly being achieved [3]. This means that more than 97% of the generated energy and approximately 50% of the theoretically expected energy is lost in typical EUV imaging systems consisting of more than ten mirrors.

The main loss mechanisms are intrinsic absorption, light scattering from interface imperfections, and distortions of the multilayer system because of interdiffusion. To keep the interference system intact, barrier and capping layers can be introduced to minimize the effect of intermixing and oxidation of the uppermost layers [4]. Furthermore, superpolished substrates and deposition processes with high adatom mobilities can be used to reduce interface roughness and, thus, the scattering loss. However, besides being a critical loss mechanism, scattered light also propagates through the optical system and influences the imaging properties. In particular, near angle scattering is critical for lithographic applications, because scattered radiation in the field of view reduces the image contrast and resolution [4].

2. Theoretical Background

2A. Scattering: Theoretical Models

For optical smooth surfaces with an rms roughness σ<λ, the angle resolved scattering (ARS), defined as the power ΔPs scattered into a solid angle ΔΩs normalized to the incident power Pi, of a coating consisting of N layers can be calculated as follows [5, 6, 7]:

ARS(θs)=ΔPs(θs)ΔΩs·Pi=1λ4i=0Nj=0NCiCj*PSDij(f),
where λ is the radiant wavelength. All properties of the perfect multilayer (layer thickness, dielectric functions, etc.) and the conditions of illumination and detection (polarization of the incident and scattered beam, illumination θi, and scattering angle θs) are described by the optical factors Ci. The roughness properties of all interfaces (i=j), as well as their cross correlations (ij), are described by power spectral density functions (PSDs), which represent the relative strength of each roughness component as a function of spatial frequencies f. The basic geometry and the nomenclature used are shown in Fig. 1.

The link between spatial frequencies f and scattering angles θs is given by the grating equation (f=|sin(θs)sin(θi)|/λ for in-plane scattering). Hence, for a given wavelength, the scattering angles correspond to a certain spatial frequency range of the PSD. While the high-spatial-frequency roughness (HSFR) between f=1μm1 and 50μm1 causes scattering into larger angles at 13.5nm, mid- spatial-frequency roughness in the range of f=0.001μm1 to 1μm1 influences near angle scattering. Both bandwidth-limited rms-roughness values can be determined by numerical integration of the PSD.

For isotropic roughness structures, the fraction of the encircled scattered energy around the specular beam on the incident power Pi can be calculated as follows:

S(θs,max)=2πθs,minθs,maxARS(θsθi)sin(θsθi)dθs.
Choosing the integration limits according to the standard ISO 13696 (θs,min=2° and θs,max=85°) yields the total scattering, which describes the scattered power into the backward hemisphere normalized to Pi [8].

2B. Roughness Evolution

As Eq. (1) shows, analyzing the scattering behavior of EUV multilayers makes a roughness characterization of the entire multilayer indispensable, since all interface PSDs contribute to the scattering distribution. A suitable approach for EUV multilayers is given in [2]. The proposed linear growth model assumes that the roughness at the ith interface in a thin film stack can be expressed by the roughness replication of the underlying interface, intrinsic thin film roughness PSDint, and local smoothing as a result of the mobility of the deposited particles:

PSDi(f)=PSDint,i(f)+arep,i(f)PSDi1(f).
The spectral characteristics of the intrinsic thin film roughness PSDint and the replication factor arep can be described by a set of growth parameters for each layer material, which depend on the deposition pa rameters. For the starting and end points of the roughness evolution, atomic force microscopy (AFM) is well suited, because the surface PSDs before and after coating can be measured directly. Minimizing the difference between the measured and modeled top-surface PSDs thus allows the determination of the growth parameters and all interface PSDs.

It is also imaginable to determine the growth pa rameters from the investigation of single layers. Unfortunately, this method can be limited because of naturally grown oxide layers. However, for silicon, we were able to determine the growth parameters when considering an oxide layer of 2nm, which is in agreement with the observed oxide layers in [9]. For molybdenum, this was not possible because of a stronger oxidation.

3. Experimental

3A. Roughness Evolution of Mo/Si Multilayer

For the study of the influence of substrate and intrinsic thin film roughness on the scattering properties, Mo/Si multilayers consisting of 60 layer pairs and optimized for a wavelength of 13.5nm and an angle of incidence of 5° were deposited by magnetron sputtering [10] onto two differently polished substrates. The substrate of sample A was a superpolished Si wafer with an HSFR of 0.11nm. For sample B, a moderately rough fused silica substrate with an HSFR of 0.34nm was chosen.

For each sample, the surface topography in several scan areas between 1μm×1μm and 50μm×50μm was determined before and after coating. The results shown in Fig. 2 indicate a roughness increase throughout the multilayer for sample A, while sample B exhibits a smoother top surface than the substrate.

Furthermore, the PSDs from all scans were calculated and combined as described in [11]. The results and the PSDs simulated from the roughness evolution model are shown in Fig. 3. For sample A, a systematic enhancement of the PSD occurs at spatial frequencies around 20μm1. At lower spatial frequencies, the substrate roughness is mainly replicated through the multilayer. For sample B, the substrate roughness exceeds the intrinsic thin film roughness in the entire relevant spatial frequency range. Therefore, no significant increase of the top-surface PSD can be observed. At higher spatial frequencies, the roughness components are even smoothened by the multilayer.

Based on the PSDs obtained from the roughness evolution model, the ARS was calculated according to Eq. (1) for three different cases.

  • General model: both the substrate and intrinsic thin film roughness are considered.
  • Perfect coating: only the substrate roughness is replicated through the multilayer, intrinsic thin film roughness, and smoothing effects are neglected.
  • Perfect substrate: roughness evolution starts from a perfect substrate with no roughness. Therefore, only intrinsic thin film roughness is considered.

Since most of the roughness components are replicated through the coating, we used a partial correlation model (PSDij(f)=min[PSDi(f),PSDj(f)] for the cross-correlation terms [12]. The results of the simulations and ARS measurements performed at 13.5nm with our light scattering measurement system MERLIN are shown in Fig. 4. This laboratory-sized instrument is based on a Xe discharge plasma source and enables both angle resolved scattering and reflectance measurements at arbitrary angles of incidence. Through the combination of a photodiode and a channeltron as detection units, a total dynamic range of more than 7 orders of magnitude and a noise-equivalent ARS below 103sr1 has been achieved. This is sufficient to investigate EUV mirrors, even on supersmooth substrates. A more detailed description of the measurement system can be found in [13].

The scattered radiation at small scattering angles of sample A is obviously influenced by the instrument signature, which results from scattered light of the beam preparation optics. For sample B, this effect is not visible because of the higher scattering level.

The good agreement between the simulations and measurements demonstrates the accuracy of the scattering measurements. Moreover, it proves the validity of the scattering theory, as well as of the roughness evolution and the cross-correlation model. While the scattering properties of sample A can be mainly attributed to intrinsic thin film roughness, the opposite is true for sample B. The fraction of the encircled energy (Fig. 5) provides a detailed view on how the two different roughness types influence the overall scattering loss. Around the specular beam, the scattered radiation can be almost entirely attributed to replicated substrate roughness. Therefore, a single surface approximation, as proposed in [14], can be used for the description of the near angle scattering. However, in the case of very low substrate roughness (HSFR0.15nm), the scattering con tributions from the thin film roughness rapidly increase at larger scattering angles, leading to a pronounced scattering loss of the coating. The transition from a dominant substrate roughness to a distinctive influence of the thin film roughness occurs at 6° for sample A. Hence, the single surface approximation is no longer valid at larger scattering angles for this sample.

For the multilayer design and deposition process used, the lowest achievable scattering loss is 1%, as shown by the simulated curves for the ideal substrate. The initial substrate roughness of sample A (HSFR=0.11nm) leads to an additional scattering loss of 0.2%. For sample B, the scattering loss induced by the substrate roughness exceeds the loss induced by the intrinsic thin film roughness. Therefore, the overall scattering loss can be almost entirely attributed to replicated substrate roughness. It is interesting to note that scattered radiation at angles larger than 20° does not significantly influence the scattering loss of either sample. At smaller scattering angles, constructive interference of light scattered from different interfaces (resonant scattering) dominates the total scattering.

3B. Substrate Characterization

The strong wavelength dependence in Eq. (1) and the replication of the substrate roughness by the multilayer place strict requirements on the optical finish quality of EUV substrates. Hence, characterizing the substrate before coating is of crucial importance. Unfortunately, profilometric analysis techniques are often limited because of the uncooperative dimensions of EUV mirrors, as illustrated in Fig. 6 (left), which leads to mechanical problems regarding sample mount and vibrational noise. Light scattering itself, however, can be used to overcome this challenge, because, for single surfaces, the sums in Eq. (1) vanish, resulting in an ARS directly proportional to the surface PSD [15]. For the characterization of large EUV substrates, we therefore use the measurement system described in [16], which can handle sample sizes with diameters of up to 700mm. The light source is a He–Cd laser operating at 442nm. Because of the longer wavelength, the effective spectral range of the PSD is shifted to shorter spatial frequencies. One way to minimize this effect is through increasing the incidence angle. Measuring the ARS at an angle of incidence of 45° thus enables roughness components up to f=3.8μm1 to be determined.

As studied in [17] and shown in Fig. 3 (left), the surface PSDs of polished substrates with low rms roughness exhibit a fractal-like behavior, according to an inverse power law of the form

PSD(f)=Afn.
Fitting the spectral strength A and spectral index n of the model PSD to the surface PSDs from the light scattering measurements thus allows the determination of the HSFR through extrapolation, as shown in Fig. 6 (right). Therefore, it has to be kept in mind that subwavelength features are not resolved, which might lead to small deviations of the estimated HSFR. The higher roughness level at the lower limit of the PSD can be attributed to the specular beam.

To demonstrate the agreement of the extrapolated PSD with AFM and white-light interferometry (WLI) measurements, we characterized the surface quality of a small diamond turned and polished substrate, fabricated at the Fraunhofer IOF. With all measurement techniques, several sample positions were an alyzed, as shown in Fig. 7. Compared to the two other characterization methods, the PSDs from the ARS measurements exhibit almost no fluctuations. This is because the roughness structures are averaged over the spot diameter of 2mm, which leads to a high robustness of the determined PSDs. Therefore, the extrapolated PSD and the AFM measurements agree very well, leading to an HSFR of 0.11nm. Furthermore, because of the fast measurement method, the surface quality of the entire sample can be characterized in a reasonable amount of time, as shown in Fig. 8. Based on this information and the roughness evolution of the multilayer presented in Subsection 2B, the scattering of the EUV coating becomes predictable even prior to manufacturing. This helps to reduce development costs and enables the optimization of the production steps.

Recently, we observed that even small index fluctuations of the substrate material cause high scattering signals at 13.5nm, which exceed the scattering contributions from interface imperfections. Thus, using Eq. (1) in this case would result in an overestimation of the roughness components.

4. Discussion and Conclusion

Light scattering measurement and analysis constitutes a powerful tool for the characterization of single surfaces and thin film coatings. In combination with AFM, the roughness evolution of Mo/Si multilayers was studied. The impact of replicated substrate roughness and intrinsic thin film roughness on the scattering distribution was separated. At large scattering angles, both roughness types influence the scattering properties. Close to the specular beam, replicated substrate roughness dominates the scattering distribution. Minimizing flare in optical systems, therefore, critically depends on the substrate roughness.

Because of the simple relationship between the PSD and ARS for single surfaces, light scattering measurements enable the characterization of the surface finish of large EUV substrates. It was demonstrated that the relevant roughness structures can be determined from light scattering measurements at 442nm and subsequent extrapolation of the PSD. Furthermore, it was shown that this technique is very robust, fast, and highly sensitive (HSFR down to 0.1nm). In combination with roughness evolution models, the scattering properties of sputtered multilayers at the wavelength of application can even be predicted prior to coating. This allows optimization at all stages of the production process in order to fulfill the extraordinary demands of EUV optics.

The authors are grateful to the colleagues at the Fraunhofer IOF, especially Luisa Coriand, Matthias Hauptvogel, Tobias Herffurth, Hagen Pauer, Marco Perske, Stefan Risse, Sebastian Scheiding, and David Schmitz for providing high quality samples, contributing to measurements and interesting discussions. We also thank Cymer Inc. for the support.

 figure: Fig. 1

Fig. 1 Basic geometry for the definitions of specular quantities and scattering. All angles are measured relative to the macroscopic surface normal n.

Download Full Size | PDF

 figure: Fig. 2

Fig. 2 1μm×1μm AFM images before and after coating. Upper row, sample A; lower row, sample B.

Download Full Size | PDF

 figure: Fig. 3

Fig. 3 Roughness evolution of Mo/Si multilayer. Left, sample A; right, sample B.

Download Full Size | PDF

 figure: Fig. 4

Fig. 4 Angle resolved scattering at 13.5nm of Mo/Si multilayer, measurement and modeling results. Left, sample A; right, sample B.

Download Full Size | PDF

 figure: Fig. 5

Fig. 5 Encircled energy around specular peak. Left, sample A; right, sample B.

Download Full Size | PDF

 figure: Fig. 6

Fig. 6 Roughness characterization of EUV collector substrate. Left, adjustment for light scattering measurements. Right, PSDs from ARS measurements at 442nm and extrapolation.

Download Full Size | PDF

 figure: Fig. 7

Fig. 7 PSDs of diamond turned and polished substrate from AFM, WLI, and ARS measurements at 442nm.

Download Full Size | PDF

 figure: Fig. 8

Fig. 8 HSFR mapping of EUV collector substrate (diameter, 660mm) based on ARS measurements at 442nm.

Download Full Size | PDF

1. E. M. Gullikson, S. L. Baker, J. E. Bjorkholm, J. Bokor, K. A. Goldberg, J. E. M. Goldsmith, C. Montcalm, P. Naulleau, E. Spiller, D. G. Stearns, J. S. Taylor, and J. H. Underwood, “EUV scattering and flare of 10× projection cameras,” Proc. SPIE 3676, 717–723 (1999). [CrossRef]  

2. D. G. Stearns, D. P. Gaines, D. W. Sweeney, and E. M. Gullikson, “Nonspecular x-ray scattering in a multilayer-coated imaging system,” J. Appl. Phys. 84, 1003–1028 (1998). [CrossRef]  

3. A. E. Yakshin, R. W. E. van de Kruijs, I. Nedelcu, E. Zoethout, E. Louis, and F. Bijkerk, “Enhanced reflectance of interface engineered Mo/Si multilayers produced by thermal particle deposition,” Proc. SPIE 6517, 65170I (2007). [CrossRef]  

4. S. Yulin, “Multilayer coatings for EUV/soft x-ray mirrors,” in Optical Interference Coatings, N. Kaiser and H. K. Pulker, eds., Springer Series in Optical Sciences (Springer-Verlag, 2003), pp. 281–308.

5. P. Bousquet, F. Flory, and P. Roche, “Scattering from multilayer thin films: theory and experiment,” J. Opt. Soc. Am. 71, 1115–1123 (1981). [CrossRef]  

6. C. Amra, “Light scattering from multilayer optics. I. Tools of investigation,” J. Opt. Soc. Am. A 11, 197–210 (1994). [CrossRef]  

7. S. Schröder, T. Feigl, A. Duparré, and A. Tünnermann, “EUV reflectance and scattering of Mo/Si multilayers on differently polished substrates,” Opt. Express 15, 13997–14012 (2007). [CrossRef]  

8. “Optics and optical instruments—Test methods for radiation scattered by optical components,” ISO 13696:2002 (International Organization for Standardization, 2002).

9. M. Singh and J. J. M. Braat, “Design of multilayer extreme-ultraviolet mirrors for enhanced reflectivity,” Appl. Opt. 39, 2189–2197 (2000). [CrossRef]  

10. T. Feigl, S. Yulin, N. Benoit, and N. Kaiser, “EUV multilayer optics,” Microelectron. Eng. 83, 703–706 (2006). [CrossRef]  

11. A. Duparré, J. Ferré-Borrull, S. Gliech, G. Notni, J. Steinert, and J. M. Bennett, “Surface characterization techniques for determining rms roughness and power spectral densities of optical components,” Appl. Opt. 41, 154–171 (2002). [CrossRef]  

12. J. Ferré-Borrull, A. Duparré, and E. Quesnel, “Roughness and light scattering of ion-beam-sputtered fluoride coatings for 193nm,” Appl. Opt. 39, 5854–5864 (2000). [CrossRef]  

13. S. Schröder, T. Herffurth, M. Trost, and A. Duparré, “Angle- resolved scattering and reflectance of extreme-ultraviolet multilayer coatings: measurement and analysis,” Appl. Opt. 49, 1503–1512 (2010). [CrossRef]  

14. E. M. Gullikson, “Scattering from normal-incidence EUV optics,” Proc. SPIE 3331, 72–80 (1998). [CrossRef]  

15. S. Schröder and A. Duparré, “Finish assessment of complex surfaces by advanced light scattering techniques,” Proc. SPIE 7102, 71020F (2008). [CrossRef]  

16. S. Schröder, T. Herffurth, H. Blaschke, and A. Duparré, “Angle resolved scattering: an effective method for characterizing thin film coatings,” Appl. Opt. 50, C164–C171 (2010). [CrossRef]  

17. E. L. Church, “Fractal surface finish,” Appl. Opt. 27, 1518–1526 (1988). [CrossRef]  

Cited By

Optica participates in Crossref's Cited-By Linking service. Citing articles from Optica Publishing Group journals and other participating publishers are listed here.

Alert me when this article is cited.


Figures (8)

Fig. 1
Fig. 1 Basic geometry for the definitions of specular quantities and scattering. All angles are measured relative to the macroscopic surface normal n.
Fig. 2
Fig. 2 1 μm × 1 μm AFM images before and after coating. Upper row, sample A; lower row, sample B.
Fig. 3
Fig. 3 Roughness evolution of Mo/Si multilayer. Left, sample A; right, sample B.
Fig. 4
Fig. 4 Angle resolved scattering at 13.5 nm of Mo/Si multilayer, measurement and modeling results. Left, sample A; right, sample B.
Fig. 5
Fig. 5 Encircled energy around specular peak. Left, sample A; right, sample B.
Fig. 6
Fig. 6 Roughness characterization of EUV collector substrate. Left, adjustment for light scattering measurements. Right, PSDs from ARS measurements at 442 nm and extrapolation.
Fig. 7
Fig. 7 PSDs of diamond turned and polished substrate from AFM, WLI, and ARS measurements at 442 nm .
Fig. 8
Fig. 8 HSFR mapping of EUV collector substrate (diameter, 660 mm ) based on ARS measurements at 442 nm .

Equations (4)

Equations on this page are rendered with MathJax. Learn more.

ARS ( θ s ) = Δ P s ( θ s ) Δ Ω s · P i = 1 λ 4 i = 0 N j = 0 N C i C j * PSD i j ( f ) ,
S ( θ s , max ) = 2 π θ s , min θ s , max ARS ( θ s θ i ) sin ( θ s θ i ) d θ s .
PSD i ( f ) = PSD int , i ( f ) + a rep , i ( f ) PSD i 1 ( f ) .
PSD ( f ) = A f n .
Select as filters


Select Topics Cancel
© Copyright 2024 | Optica Publishing Group. All rights reserved, including rights for text and data mining and training of artificial technologies or similar technologies.